Aszinkron logika
Az oldal jelenlegi verzióját még nem ellenőrizték tapasztalt közreműködők, és jelentősen eltérhet a 2021. december 21-én felülvizsgált
verziótól ; az ellenőrzések 54 szerkesztést igényelnek .
Az aszinkron logika a digitális eszközök logikai elemeinek egyfajta interakciója . Abban különbözik a szinkrontól, hogy elemei aszinkron módon működnek , nem engedelmeskednek a globális órajelgenerátornak .
Leírás
Az aszinkron áramköröket két jel vezérli: egy kérés , amely a bemenetek beállítása után kerül kiadásra, és egy válasz . Egy pár ilyen jelre vonatkozóan egy aszinkron áramkörben a tranziens folyamatot egy késleltető elem modellezi , amelynek értéke véges és előre ismeretlen. A szinkron áramkörökben a dinamikus viselkedés anomáliáit (versenyek és kockázatok) egy óragenerátor takarja el. Az aszinkron áramkörök anomáliáinak leküzdésére a [1] jelzőmechanizmust alkalmazzák , amely rögzíti a tranziens folyamatok végének pillanatait. A jelzőjelek készenlétét a valós késleltetések értékei határozzák meg, amelyek változhatnak és függhetnek az áramkör működési feltételeitől (például a hőmérséklettől). Fizikailag az áramkörben a tranziens folyamatok végét jelző jelző hiányozhat, majd szerepét speciális önszinkron kódok töltik be [2] [3] . Így a szinkron áramkörökhöz képest az aszinkron áramkörök általában több logikai elemet tartalmaznak. Az aszinkron áramkörök fő előnyei a szinkronokhoz képest: [4] [5] :
- stabil működés - nincs hiba semmilyen lehetséges működési körülmény között;
- biztonságos működés - álljon meg bármely elem meghibásodása pillanatában;
- kényszerített leállási időszakok hiánya a következő szinkronimpulzusra várva.
Szinte bármilyen bonyolultságú szinkron áramkörök megvalósíthatók viszonylag olcsó FPGA -kon . Éppen ellenkezőleg, a szigorúan önszinkron áramkörök nagyon szigorú követelményeket támasztanak az FPGA belső szerkezetével szemben [6] [7] , és gyakorlatilag az egyetlen megoldás az FPGA megrendelésre történő gyártása [8] [9] [10] [11] . Érdemes azonban megjegyezni az aszinkron áramkörök megvalósítására tett kísérleteket bipoláris ROM-on [12] [13] , szabványos PAL-on (CPLD) [14] [15] és FPGA-n [16] [17] [18] . Mivel a szabványos FPGA-k szinkron eszközök, viszonylag könnyű késleltetéssel illesztett áramköröket [19] [20] [21] , és ami még nehezebb, lokálisan szinkron (GALS) áramköröket [22] építeni rájuk . A legtöbb szabványos FPGA-nak hiányzik az eszköz a döntőbírók megvalósításához. Ennek a korlátozásnak a megkerülésének egyik módját a [16] mutatja be . A cikkben [17] a szigorúan önszinkron áramkör megvalósítása érdekében az Atmel AT40K FPGA módosítását javasolják nagyon finom egységcella mérettel (finom szemcsés) [23] [24] .
Általános megjegyzések
- A digitális eszközök tervezésénél a kezelő- és vezérlőegység funkcionális szétválasztása megszokott. A műveleti blokk felelős az adatfeldolgozásért (adatút [26] [27] ), és parancsokat kap a vezérlőblokktól (vezérlőút). Az ilyen felosztás sok tekintetben meglehetősen önkényes [4] . Egyes eszközök, mint például az aszinkron busz, a gyűrűs interfész, a hierarchikus döntőbíró és a modulo n számláló, alig vagy egyáltalán nem végeznek adatfeldolgozást, de összetett vezérlőalgoritmusokkal rendelkeznek. Más eszközök, mint például az aszinkron regiszterbank vagy a párhuzamos n-bites ALU, intenzív adatfeldolgozásra készültek, de ezek vezérlése meglehetősen egyszerű.
- Az aszinkron áramkörök, mint minden memóriával rendelkező áramkör, megkövetelik a kezdeti feltételek megadását. A gyakorlatban ez úgy történik, hogy a tárolóelemekre megfelelő polaritású rövid reset impulzust adnak. A részletekért lásd [28] .
- Az aszinkron sémák helyes működéséhez be kell állítani a külső környezet viselkedését. Az egyik környezet számára nem elosztó séma lehet elosztó egy másik számára, szekvenciális a harmadik számára, és nem félig moduláris a negyedik számára.
Aszinkron áramkörök modelljei és osztályozása
Az aszinkron séma egy párhuzamos elosztott program hardveres megvalósításának tekinthető [4] . Egy ilyen program időben történő végrehajtásához általában valamilyen mechanizmusra van szükség, míg az aszinkron sémának nincs szüksége erre a mechanizmusra. Az operátorok és parancsok analógjai az aszinkron sémában logikai elemek, triggerek vagy összetett hierarchikus modulok. Az áramköri elemek közötti adatcsere szerepét a jelkapcsolás tölti be. Így az összes esemény a séma szintjén időben rendeződik ok-okozati összefüggéseken keresztül. A fejlesztő által beállított sorrendet a sémában kell tárolni, azaz ténylegesen generálni, ami végső soron biztosítja a megfelelő működést. Általánosságban elmondható, hogy az önidőzített áramkörök osztályozása meglehetősen bonyolult és nem egyértelmű [1] [34] . Az ilyen áramköröknek azonban legalább két meglehetősen általános modellje létezik, amelyek eltérő feltételezéseket tartalmaznak az elemek, vezetékek és csatlakozásaik késleltetéséről [35] [36] :
- Delay bounded model ( Huffman modell [37] ), amely az áramkörben a maximális jelterjedési késleltetést feltételezi (legrosszabb eset). Az ilyen áramkörök felépítéséhez késleltetést kell bevezetni a visszacsatoló hurokba, vagy helyi szinkronizálást kell használni. Így a Huffman-modell szerint épített áramkörök nem szigorúan önszinkronok. A Huffman-modell használatára példa a mikrocsővezetékek ( mikrocsővezetékek ) különféle változatai illesztett késleltetéssel [38] [39] [40] [41] . Általában a nem-Huffman modellek olyan modellek, amelyek dinamikus specifikációs nyelveket használnak formális elemzéshez vagy szintézishez. Nehéz elképzelni a készülékek ilyen módon történő működtetését.
- Korlátlan késleltetés az elágazási pontig modell ( Müller - modell [42] [43] [44] ), amely feltételezi, hogy az elágazás utáni vezetékkésleltetés különbsége kisebb, mint a minimális elemkésleltetés. A Muller-modell szerint épített sémák több osztályra oszthatók:
- sebességtől nem függő áramkörök ( sebességfüggetlen, SI áramkörök );
- félmoduláris és/vagy elosztó rendszerek ;
- kvázi késleltetésre nem érzékeny, QDI áramkörök .
Az elosztó sémák a félmoduláris sémák egy részhalmaza, amelyek viszont az SI sémák részhalmazai. A gyakorlatban az SI séma osztály egyenértékű a QDI osztállyal. A QDI áramkörök tervezésének elmélete és módszerei jól fejlettek, ezért az ilyen áramkörök a legnépszerűbbek a megvalósításban.
Az összetett aszinkron rendszereket sem a Huffman-modell, sem a Muller-modell nem ábrázolja egyértelműen. Az ilyen rendszerek aszinkron állapotgépekként [45] [46] , vagy nagyon nagy léptékben aszinkron mikroprocesszor-készletekként [47] [48] építhetők fel mikroprogramvezérléssel [49] [50] [51] [52] . Az ilyen készleteket a K587 [53] [54] , K588 [55] és K1883 ( NDK -ban U83x ) [56] sorozat képviseli . Célszerű egy egyszerű egybites MC14500B processzor megvalósításával és az ilyen processzorok számítási struktúrába való kombinálásával kezdeni az összetett szekvenciális önidőzített áramkörök tervezésének megtanulását [57] .
Erős (ÉS) és gyenge (OR) kondicionálás
Intuitív szinten az ok-okozati összefüggés az aszinkron áramkörökben a kimeneti jelek megjelenési sorrendjének függőségét jelenti a bemeneti jelek előfordulási sorrendjétől. Ez a függőség lehet erős (AND) és gyenge (OR), ami megfelel a teljes indikációt (teljes indikációt) és a korai értékelést (korai értékelés) tartalmazó sémáknak [58] .
Tegyük fel, hogy egy eseménynek két oka van: és . Az And-kondicionálás feltételezi, hogy mindkét eseménynek meg kell történnie, mielőtt az esemény bekövetkezhet . Így az ÉS esetén minden ok erősen megelőzi az eredményt. Az ilyen viselkedés analógja a szociológiában a kollektivizmus és a partnerség. Az OR-kondicionálás esetén egy esemény bekövetkezhet bármelyik esemény után vagy bekövetkezett (egészséges individualizmus).
Így a VAGY esetben az eredmény akkor következik be, ha a gyenge okok halmazából legalább egy esemény bekövetkezett. Annak meghatározására, hogy egy esemény hogyan viselkedik , miután mindkét gyenge oka bekövetkezett , bevezetik az együttes és az inkompatibilis kondicionálás [59] [60] (ellenőrzött és kontrollálatlan individualizmus) fogalmát . Két bemeneti jel esetén az I-kondicionálás egy hiszterézis triggerrel (G-trigger, Muller C-elem ) van modellezve, amelyet az egyenlet ad meg . Az egyesített VAGY feltételességi modell egy inkluzív VAGY elem (inclusive OR, EDLINCOR) [61] , amely egy hiszterézis trigger kimenetét használja, és az egyenlet adja meg . A teljesen inkonzisztens VAGY kondicionáló modell egy döntőbíró alapú séma.
Vegyünk egy aszinkron áramkört, amelynek két bemeneti VAGY eleme van (két bemenetes ÉS elem). Az üresítési fázisban a 00 -s kód az VAGY elem bemenetén, a 11 -es kód pedig az ÉS elem bemenetén van beállítva . Az üzemi fázisban a bemenetek egyenként 1-re (0) kapcsolnak . Mindkét változást jelezni kell, de OR-kondicionálás esetén a folyamat egy bemenet mentén alakul, majd valahol a második bemenetet jelzi. Más szóval, a folyamat az első bemeneti változtatásnál elkezd elágazni, anélkül, hogy megvárná a másodikat, azaz. a második jellel való szinkronizálás nélkül. Minél több ilyen elem, annál nagyobb a párhuzamosság az áramkörben. A bemenetek szinkronizálása lehetséges, de nem kívánatos, mivel ez más folyamat lenne, kevesebb párhuzamossággal.
Két fő módszer létezik az VAGY kondicionálás modellezésére Petri-hálókon (vagy STG-ken). Az egyik mód az, hogy a párhuzamosság kifejezett ábrázolásától a Petri-háló szintjén elmozdulunk az úgynevezett interleaving szemantika szintjére (azaz a nyomokon való választással) - a Petri-háló 1-es biztonságának megőrzése mellett. Egy másik módszer a párhuzamosság explicit reprezentációjának megtartása, de ebben az esetben a Petri-háló nem lesz 1-es biztonságos [60] . Így az VAGY kondicionálást vagy egy nem biztonságos, de stabil Petri-háló, vagy egy biztonságos, de instabil Petri-háló írja le.
Mindkét típusú kondicionálás félmoduláris sémákhoz vezet. Az ÉS-kondicionálás esetén azonban ezek a sémák elosztó jellegűek, az OR esetében pedig nem elosztóak. A disztribúciós sémák csak egy típusú elemekből építhetők fel (például NAND vagy NOR), míg a nem elosztó sémák mindkét típusú elem használatát igénylik. Egy nem biztonságos, de stabil Petri-háló esetén az OR-okozati összefüggés csúcsain lévő pontok halmozásával is foglalkozni kell. A DIMS és az NCL módszertanoknak, mint minden más teljes indikációs módszernek, megvannak az ÉS-kondicionálás minden előnye és hátránya. A jelátmeneti gráfok a legegyszerűbb formájukban is teljes jelzést adnak. A változásdiagramok lehetővé teszik mind az ÉS, mind a közös VAGY kondicionálás modellezését, de nem ábrázolhatják közvetlenül a konfliktusokkal vagy választási lehetőségekkel rendelkező folyamatokat.
A félmoduláris áramkörök kapcsolódási tétele
Legyenek az és az áramkörök félmodulárisak az és állapotokhoz képest , és legyenek az áramkör inverterének kimenete . Nyissuk meg az áramkör csomópontját úgy, hogy létrejöjjön egy bemenet és egy kimenet . Tételezzük fel, hogy azon állapotok között, ahová az áramkörök és az onnan és az áramkörök mehet, vannak olyanok és amelyekben a jel értéke az inverter bemenetén és kimenetén egybeesik a jellel , ill. Az invertert eltávolítjuk az áramkörből , így létrejön egy bemenet és egy kimenet . Kapcsolódás a következővel és -vel . Azt lehet állítani, hogy a kapott séma félmoduláris az állapothoz képest . A tétel intuitív bizonyítását az [1] tartalmazza . Szigorú matematikai bizonyíték található a [31]-ben . Fontos megjegyezni, hogy két áramkör tétel szerinti összekapcsolásához két feltétel teljesülése szükséges: 1) az egyik áramkörben inverternek kell lennie és 2) az állapotok megléte és . Ezek a feltételek nem mindig teljesülnek, ezért egyetlen félmoduláris áramkör sem kombinálható egybe. A tétel enyhébb körülményekre vonatkozó általánosítását a [2] tartalmazza . A tétel használatának sajátos esete a számlálók sebességének növelése szekvenciális átvitellel [62] [63] [64] [65] . Általános esetben a tétel alkalmazása minőségileg új áramkört ad ismert komponensekből, például egy pipeline G-flip-flopokon + egy statikus flip-flop = egy aszinkron eltolási regiszter.
Kétvezetékes kommunikációs vonal
Az egyszerű szinkron áramkörök szinte probléma nélkül összekapcsolhatók. Ha a létrejövő összetett áramkörben nincsenek kritikus jelversenyek, akkor működőképes lesz. Az aszinkron áramkörök összekapcsolása sokkal bonyolultabb, az így létrejövő összetett áramkörben az aszinkron tulajdonsága elveszhet. Ennek eredménye a munka leállása, vagy fordítva, impulzusok kitörése lesz. Ha nem veszi figyelembe a közös vezetéket, akkor a szinkron áramkör órajelét egy vezetéken keresztül táplálják. Lehetőség van aszinkron áramkörök egy vezetékkel történő összekapcsolására is [66] , de ehhez speciális soros önszinkron kódot kell használni. A párhuzamos kódhoz képest ez lassabb teljesítményt és további hardverköltségeket jelent. A teljesítmény javítása érdekében az elválasztót (távtartót) a jel harmadik szintjével ábrázolhatja [67] [68] . Ez is lehetővé teszi a vezetékek számának csökkentését (ha nem több mint két fémezési réteg), de nem teszi lehetővé a vonalak kapcsolását a különböző masterektől a különböző teljesítményűekre, vagyis nem alkalmas buszszerkezetekre. Mivel a modern technológiákban 7-14 réteg fémezést használnak, nincs értelme ilyen módon spórolni a vezetékeken. A két vezeték kétfázisú [69] [70] [71] kommunikációs protokoll használatát teszi lehetővé. Ezt a megközelítést először D. E. Maller alkalmazta szigorúan önszinkron mikrocsővezeték felépítéséhez [70] . Ehhez a módszerhez közel áll a Delay Insensitive Minterm Synthesis (DIMS) [72] . A Null Convention Logic (NCL) módszertana [73] szigorúan önszinkron mikrocsővezetékek szintézisére is szolgál. Ellentétben a C-elemeket használó DIMS-szel, az NCL több bemenetes G-flip-flopokat, úgynevezett küszöbelemeket és önidőzített M-of-N kódot használ. Bizonyos esetekben ez lehetővé teszi egyszerűbb áramkörök építését. Megjegyzendő, hogy a G-flip-flop használata miatt a DIMS és NCL mikrocsővezetékek csak az I-kondicionálást valósítják meg [74] . A mikrocsővezetékek VAGY feltételrendszerű felépítésének néhány módját a [75] [76] tárgyalja . Szigorúan önszinkron mikrocsővezeték-áramkörök is szintetizálhatók, amikor magas szintű nyelvekből fordítunk programokat. Arra azonban számítani kell, hogy az így kapott sémák nem lesznek optimálisak. Például a [77] -ben szintetizált összeadó bonyolultabb, mint a [78] -ban javasolt .
Aszinkron primitívek
Az a gondolat, hogy primitíveket használnak egy aszinkron áramkör felépítéséhez, hasonló a konstruktor ötletéhez. Egy ilyen konstruktor részleteinek a lehető legáltalánosabbnak kell lenniük [4] . Általában stabil és biztonságos Petri-hálók töredékei írják le őket [79] [44] . A leghíresebb aszinkron primitívek:
Pufferregiszter
Először [70] -ben javasolták kétsoros késleltetés néven (lásd még [71] [1] ), és legismertebb nevén gyenge állapotú félpuffer, WCHB [80] .
Cell of David
Nevét René David francia mérnökről kapta, aki először javasolta [81] . A cella tranzisztoros megvalósítását egyhelyes puffernek nevezzük , melynek általánosításait az [1] [2] [3] [49] [82] [83] [84] tartalmazza .
Re-entry séma
Először [1] -ben javasolták, és [2] -ben fejlesztették tovább . Ez utóbbi opciót a [3] tárgyalja, és többszörös felhasználású áramkörként , D-elemként , Q-elemként [87] és S-elemként [30] [88] ként ismert .
Számláló trigger
Toggle -nek is nevezik a kettes frekvenciaosztót, amely biztosítja a tranziensek befejezését. Az invertált bemeneteken alapuló kapcsolók korai változatai a [31] [94] [95] [96] dokumentumban találhatók . A [94] áramkör átmenet diagramja az ábrán látható. 5,31 in [2] . A bemeneti inverterek késleltetése ezekben a sémákban nullának számít, és vagy az XOR elem, vagy az XNOR elem szolgál indikátorként. Az 1 ÉS-2OR-NOT és 1OR-2AND-NOT kettős kaput használó átkapcsolás egy változata megtalálható a [97]-ben . Megjegyezzük, hogy egy ilyen megvalósítás legalább 1971 óta ismert [98] . Az azonos elemeket és két invertert használó átkapcsolás egy másik változatát javasolja [99] , és részletesen tárgyalja a [100] -ban . A toggle megvalósítását csak NAND (OR-NOT) elemeken [1] [2] néha Harvard triggernek is nevezik, és legalább 1964 óta ismert [101] . A kompakt statikus Harvard CMOS flip-flop áramköröket a [102] [103] [104] tartalmazza, egy terhelési ellenállású áramkört pedig a [105] . A számláló trigger dinamikus sémáját, ahol az előző állapot a tartályokon tárolódik, a [106] adja meg . Vegye figyelembe, hogy a legtöbb számláló flip-flop szekvenciális áramkörök, ezért csak 2I-NOT elemeken valósíthatók meg. Vannak azonban elosztási sémák a triggerek számlálására. Például a [107]-ben egy elosztó és nyilvánvalóan nehézkes áramkört ír le négy logikai és két C-elemen. Sikeresebb példa a JK flip-flop elosztási sémája a 2I-NOT-on. A J és K bemeneteket kombinálva számláló flip-flopot kapunk.
A számláló flip-flopok soros csatlakoztatása több számjegyű számlálót ad, amelyben a kisütési műveletek száma feleannyi, mint a kisütési műveletek száma . Az ilyen számlálók késleltetéseitől való függetlenség biztosítása érdekében általában minden számjegyű tranziens befejeződési jelzőt használnak [1] . A csővezeték-számláló sémáját először [1] -ben javasolták, [108] -ban szabadalmazták, majd [2] -ben újranyomták . Az állandó válaszidővel rendelkező számlálók specifikációit és sémáit a [109] [110] [97] tartalmazza . Szintén [97]-ben egy szekvenciális számláló van megadva átviteli késleltetéssel. A [111]-ben egy programozható számlálót javasoltak, amelyben a környezettel való interakció az utolsó biten keresztül történik. Ennek köszönhetően állandó reakcióidő érhető el a számlálóhoz intézett kérés és a válasz között. Az N kérés után kapott válasz egy olyan jel, amelynek frekvenciája osztva N-nel.
Tervezési módszertanok
Az aszinkron áramkör tervezésekor feltételezni kell a késéseket. Az önszinkronizálás módszertana a Muller-féle vezetékkésésekre vonatkozó hipotézist alkalmazza - a teljes vezetékkésleltetés az elemkimenetre kerül, és figyelmen kívül hagyható az elágazás utáni vezetékkésések terjedése. Ebben az esetben a vezetékeket általában nem veszik figyelembe. Muller hipotézisének megsértése a viselkedés ok-okozati összefüggésének megsértéséhez vezet, ami az önszinkronizálás logikai alapja. Az okság megköveteli, hogy a rendszer minden eseménye legalább egy másik esemény oka legyen (az önszinkron rendszerek indikátor tulajdonsága [2] ). A logikai struktúrákban az átviteli rendszerekkel ellentétben előfordulhat, hogy az elágazás után egy vezetékdarab állapotának változása nem vezet a logikai elem átkapcsolásához, és ezért nem jelezhető. Ebben az esetben a drótdarab memóriaelemként kezd működni. Ennek leküzdésére, azaz olyan áramkörök építésére, amelyek nem függenek a vezetékek késleltetésétől, vagy speciális kapcsolási szabályokat kell alkalmazni (amely leszűkíti a megvalósított áramkörök osztályát [112] ), vagy speciális logikai vagy topológiai módszereket. olyan konstrukciók, mint az izokron elágazások [113] [114] [115] vagy a terepi villák [116] [117] , amelyek új hipotézisek és/vagy technológia-specifikus tervezési technikák bevezetését igénylik. Ez a probléma súlyosbodik, ha a vezetékekben bekövetkező késések hatása és e késések terjedése növekszik. A modern tervezési módszerek túlnyomó többsége olyan áramkörökhöz vezet, amelyek kvázi késleltetésérzéketlenek, vagyis olyan áramkörökhöz, ahol minden ág kellően rövid, és ezért izokron [118] [119] . Az aszinkron áramkörök szintetizálásának fő feladata a következőképpen fogalmazódik meg [120] [121] . Olyan specifikáció van beállítva, amely egy valós folyamatot szimulál. Ezt követően elemzik, hogy feltárják a folyamat előnyös és rendellenes tulajdonságait. Az elemzés eredményei alapján az eredeti specifikáció módosításra kerül az anomáliák megelőzése és/vagy megszüntetése érdekében. Az új, módosított specifikáció szerint olyan áramkört szintetizálnak, amelynek viselkedése egybeesik az eredeti specifikációval. Az esemény típusú modelleken alapuló aszinkron áramkörök elemzésére és szintetizálására szolgáló módszerek rövid listáját a [122] tartalmazza . Ezeknek a modelleknek a modern fejlesztési eszközökben való használatának teljes ciklusát a [123] tárgyalja . A programok magas szintű nyelvekből való összeállításán, valamint a nyomkövetés elméletén alapuló szintézismódszereket tárgyalja a [124] [125] [126] .
A logikai áramkörök viselkedésének modellezésére általában stabil és biztonságos Petri-hálókat használnak [44] . Az ilyen hálózatok azonban nem tudják modellezni a korai eredményeket, mivel az átmeneti tüzelés az ÉS-kondicionáláson alapul. Az OR-kondicionálás leírásához a hálózatnak bizonytalannak kell lennie (egy pozícióban egynél több token). Az áramkör viselkedésének megadása után a Petri-hálót változási diagrammá (Muller diagram) kell átalakítani, amely egy olyan gráf, amelynek csúcsait stabil és gerjesztett elemkimenetek vektora jelöli. Ezután meg kell győződnie arról, hogy az eredményül kapott diagram félmoduláris. Ha nem, ez azt jelenti, hogy a Petri-háló séma kezdeti leírása nem teljes, és további eseményeket kell bevezetni. Ha a változások diagramja félmoduláris, akkor az átmenet diagramból fel lehet építeni az elemek gerjesztési függvényeit. Továbbá, ha ezek a funkciók szerepelnek a megvalósítás alapelemeinek listájában, akkor minden rendben van. Ha nem, akkor további változókat kell bevezetni, és ezért módosítani kell az eredeti feladatot úgy, hogy az elemek összes funkciója megfeleljen a megvalósítási alap funkcióinak. Ez a probléma nagyon összetett és formai megoldása messze áll az optimális megvalósítástól.
Jelgrafikonok
Petri-hálók alapján, amelyekben az átmeneteket jelnevekkel jelölik. Először [131] -ben javasolták őket, és két különböző megközelítésben írták le részletesebben [132] és [133] . Leginkább angol néven ismert . Signal Transition Graphs, STG [134] .
A legegyszerűbb STG osztály, az STG/MG, a címkézett Petri-háló gráfok osztályának felel meg. Ezek Petri-hálók, ahol minden pozíciónak legfeljebb egy bemeneti és egy kimeneti átmenete van. Egy ilyen gráfban egy pozícióból csak egyetlen, tőle távolodó átmeneten keresztül távolíthatók el a markerek, és az átmenetet, miután engedélyeztük, csak a tényleges induláskor lehet letiltani, tehát olyan helyzet fordulhat elő, amikor akár A, akár B, de nem mindkettő, nem kezelhető.. Ne feledje, hogy az STG grafikusan lecseréli a címkézett átmenetet a címkéjére, és az egy bemenettel és egy kimenettel rendelkező pozíciók kimaradnak. Az ezekben a leengedett pozíciókban lévő jelzőket egyszerűen a megfelelő ívre kell helyezni. Az STG-ben az átmeneti címkék nemcsak a jel nevét tartalmazzák, hanem az átmenet adott típusát is, legyen az emelkedő ("+") vagy csökkenő ("-").
Így, amikor a -val jelölt átmenet ki van kapcsolva , a jel 0-ról 1-re vált; amikor a -val jelölt átmenet kiég , a jel 1-ről 0-ra vált. A bemeneti jelek átmeneteit aláhúzás is megkülönbözteti. Az áramkörök STG segítségével történő létrehozásához gyakran egy vagy több megkötés szükséges: életerő, megbízhatóság, tartósság, konzisztens állapot-hozzárendelés, egyedi állapot-hozzárendelés, egyciklusos átmenetek.
Az STG akkor él, ha minden elérhető jelölésből végül minden átmenetet ki lehet lőni.
Az STG megbízható, ha egyetlen pozíció vagy ív sem tartalmazhat egynél több markert.
Az STG konstans, ha minden a* → b* ívre (ahol a t* t+ vagy t- átmenetet jelent) vannak más ívek, amelyek garantálják, hogy b* az ellentétes a* átmenet előtt kezdődik.
Az STG konzisztens állapot-hozzárendeléssel rendelkezik, ha a jelátmenetek szigorúan váltakoznak + és - között (azaz nem lehet visszatérni ugyanabba az állapotba).
Egy STG egyedi állapot-hozzárendeléssel rendelkezik, ha nincs két különböző STG jelölésnek azonos jelentése az összes jelhez.
Egy STG egyciklusú átmenetekkel rendelkezik, ha az STG-ben minden jelnév pontosan egy emelkedő egy csökkenő átmenetben jelenik meg.
Diagramok módosítása
A változásdiagramok (CD ) [135] [136] [137] , mint például az STG-k , az átmeneteknél és az átmenetek közötti íveknél címkézett csomópontokat tartalmaznak, amelyek meghatározzák az engedélyezett átmeneti trigger szekvenciákat. A CD-k három ívtípussal rendelkeznek: erős elsőbbség, gyenge elsőbbség és nem összekapcsolt erős elsőbbség, valamint kezdeti jelölés, bár a jelölők a CD-átmenetekbe kerülnek pozíciók helyett. Az erős prioritású ívek hasonlóak az STG íveihez, és ÉS íveknek tekinthetők, mivel az átmenet nem indulhat el addig, amíg az összes rá mutató ívet meg nem jelöli egy marker. A gyenge prioritású ívek VAGY ívek, ahol az átmenet minden olyan esetben aktiválódhat, amikor a hozzájuk gyenge elsőbbségi ívű átmenet meg van jelölve. Vegye figyelembe, hogy egy átmenetnek nem lehet egyszerre erős és gyenge íve. Ha az erős vagy gyenge elsőbbségi ívek tüzet okoznak, akkor az erre az átmenetre mutató összes íven a jelölőt eltávolítják, és minden olyan ívre helyezik, amely lehetővé teszi a tűzre való átmenetet. Mivel a hozzá vezető gyenge elsőbbségi ívekkel rendelkező átmenet az összes jelölővel rendelkező ív előtt tüzelhet, a marker nélküli ívekhez nyitott hurkok vannak hozzáadva, hogy jelezzék egy marker "tartozását". Amikor a marker elér egy ívet az adóssággal, a marker és az adósság kioltja egymást. Így, ha egy marker megérkezik egy csomópont minden gyenge prioritású bemeneti ívére (ha kezdetben egyik ív sincs megjelölve markerekkel vagy nyílt hurokkal), akkor csak egyszer fog tüzelni, és megteheti, amint az első marker megérkezik. Végül, a kiadott erős elsőbbségi ívek megegyeznek az erős elsőbbségű ívekkel, azzal a különbséggel, hogy az indításhoz vezető átmenet után az ív már nem tartja a rendszert (ezt úgy tekintik, hogy eltávolították a CD-ről). Így ezek az ívek felhasználhatók egy kezdeti, nem ismétlődő átmenethalmaz összekapcsolására egy végtelenül ismétlődő ciklushoz.
Feltételes logikai hálózatok
Először [59] -ben javasolták őket angol néven . Causal Logic Nets, CLN a Petri-hálók előnyeinek és a változásdiagramok kombinálására az okság különböző formáinak ábrázolásában [60] .
NCL megközelítés
Az NCL rövidítés a Null Convention Logic rövidítése, és a 00 határoló használatát jelzi . Az NCL megközelítést a [138] -ban javasolták túlnyomórészt önidőzített kombinációs logikából álló működési blokkok számára.
Az NCL elemek az általánosított C-elem speciális esetei, amelyet a Shannon-felbontás ad meg , ahol és a set és reset függvények. Ha ezek a függvények ortogonálisak, pl. , akkor az izoton (pozitív unate) által . Így kizárható, tehát . Az NCL küszöbérték beállítási és visszaállítási funkciókat használ, amelyek legfeljebb 4 változót tartalmazhatnak. Az NCL 3 nem küszöbfüggvényt is használ, amelyeket több NCL elem is megvalósíthat. Az NCL+ kiegészítő megközelítés a határolót 11 használja . Az NCL-nek egy visszaállítási funkciója van , de több beállított funkció [139] [140] . Ezzel szemben az NCL+ esetében egy beállítási funkció és több visszaállítási funkció [141] van . Ennek eredménye egy bizonyos szimmetria az NCL és az NCL+ elemek CMOS implementációi között [142] , [143] .
Megjegyzendő, hogy az NCL-hez hasonlóan speciális típusú T-flip-flopokat használó megközelítést jóval korábban javasoltak [1]-ben . Két különbsége van, az első a parafázisos áramkörök, a második pedig a funkcionálisan teljes alap. A két megközelítés közötti hasonlóság az a feltételezés, hogy az alapelem áramkörei érzéketlenek a belső vezetékek késleltetésére (DI feltételezés). Ez lehetővé teszi olyan áramkörök megvalósítását, amelyek nem érzékenyek az elemek közötti összekötő vezetékek késleltetésére. A CMOS NCL implementációk azonban nagyon terjedelmesek, például a TH24 elem 28 tranzisztorból áll [143] . Ez megsértheti a DI feltevést, nem beszélve a 8 bemenetes ÉS-VAGY-NEM-ről a korábbi megközelítés [144] általános moduljában . Így a vezetékkésések iránti érzéketlenség ára a rendkívüli redundancia, az alacsony sebesség és az áramkörök elégtelen megbízhatósága a CMOS-megvalósításokban. Azt is megjegyezzük, hogy mivel a küszöbfüggvények a monoton függvények egy részhalmaza, mindkét említett megközelítés a küszöbelemekre vonatkozó szekvenciális sémák kidolgozásának tekinthető [145] [146] [147] [148] .
Az NCL-en a működési blokkok felépítését folyamatszámításnak nevezik . Ezek a blokkok összekapcsolt oszcillátorok, amelyek párhuzamos számításokat végeznek. Hasonló elvet alkalmaznak a kétdimenziós elosztókban [149] [150] [151] .
Késleltetési idő feltételezések
Előfordul, hogy az adott viselkedés nem implementálható a Muller-modellben (az elemek késleltetése korlátlan). Ez a probléma jellemzően az adott megvalósítási alaphoz kapcsolódik. Ebben az esetben az egyetlen megoldás az időzítési feltételezések használata. Íme néhány jel az ilyen problémás viselkedésekre:
- A bemeneti jelet kétszer egymás után kapcsolják, ami a kimeneti kapcsolást eredményezi . Más szóval, töredék van a viselkedésben . Az ilyen magatartás semmilyen alapon nem valósítható meg. Fel kell tételezni, hogy az impulzus időtartama elegendő a belső jelek (legalább) két átkapcsolására.
- Az a követelmény, hogy az áramkört monoton homogén alapon kell megvalósítani, például csak NAND elemeken. A megadott interfész nem módosítható. Ez azt jelenti, hogy tilos új belső események hozzáadása a bemeneti események előtt. A NAND alapon minden szinkronizálás csak események alapján történik. Ennek az a következménye, hogy önálló viselkedés esetén minden egymást követő ágnak a -val kell kezdődnie és végződnie . Az új események hozzáadásának tilalma a bemeneti események előtt (nem autonóm viselkedés esetén) a és a kiegyensúlyozatlansághoz vezethet . Ha több mint , akkor a séma nem valósítható meg NAND alapon. Példa erre egy C-elem megvalósítása.
- Teljes nyugtázási (CA) elemek használata [152] . Indítsa el az eseményeket , és kezdeményezze az 1. és 2. alternatív ágakat. Ha a 2. ágban esemény van , akkor a séma nem realizálható CA-elemeken [153] .
Alapvető tények és eredmények
- Az aszinkron áramkörök a gyűrűoszcillátor általánosításának tekinthetők. Vagyis ha az áramkör kimeneteit a külső környezet modelljén keresztül csatlakoztatjuk a bemenetekhez, az áramkör oszcillálni kezd.
- Az elválasztó (távtartó) csak a kétfázisú önidőzített (SS) kódokban van jelen. Az egyfázisú CC kód közvetlen átmenetekkel rendelkező kód. Nincsenek más egyfázisú CC kódok.
- Logikai függvények megvalósítása. Eddig a legjobb általános megközelítés a kereszt-implementáció [117] [154] . Két vagy több változó bármely logikai függvénye funkcionális fajokkal rendelkezik, amelyeket elvileg nem lehet megküzdeni. Azonban a hasonló készleteken az unate függvény mentes a funkcionális versenyektől. Ezért megduplázzuk a bemeneti változók számát, és a változó inverzét helyettesítjük a független változóval. Ahhoz, hogy a bemeneti halmazok összehasonlíthatóvá váljanak, kétfázisú diszciplínára van szükség, amelyben minden munkakészletet egy távtartó (vagy minden nullából vagy minden egyesből álló elválasztó) tarkít. Mivel a távtartó bármilyen munkahalmazhoz hasonlítható, azt kapjuk, hogy egy kétfázisú bemeneti sorozatban minden szomszédos halmaz összehasonlítható, ami a funkcionális versenyek hiányához szükséges. Maradnak a logikai versenyek (implementation attribútum). Ebben az esetben a keresztmegvalósítás segít. Egy második megvalósítási csatorna kerül hozzáadásra, amely az inverz függvényt valósítja meg (az első csatorna magát a függvényt valósítja meg). Ezen túlmenően ennek a csatornának a megvalósításának a fő csatorna kettős megvalósításának kell lennie. Ezzel a megvalósítással minden egyes csatornában az összes tiszta invertert keresztkapcsolatok helyettesítik, mivel egy bizonyos szinthez tartozó elem minden kimenete megfelel az inverz csatorna azonos szintjén lévő elem kimenetének. Ez a két kimenet egy parafázisú kódpárt alkot, ami nagyban leegyszerűsíti a logikai indikátor felépítését. Kétfázisú diszciplína távtartóval történő alkalmazása esetén a CMOS technológia kétfázisú megvalósítása nem vezet a tranzisztorok számának növekedéséhez az órajeles egyfázisú logikához képest. Ez annak köszönhető, hogy a CMOS áramkörök egyfázisú megvalósítás esetén közvetlen és inverz csatornákat tartalmaznak. Az önszinkronizáló kódok redundanciájának elemzése azt sugallja, hogy egy bemenetekkel és kimenetekkel rendelkező szinkron kombinációs áramkörhöz léteznie kell egy bemenetekkel és kimenetekkel rendelkező aszinkron áramkörnek. Ez a becslés egy hipotetikus megvalósításnak felel meg minimális kiegészítő hardverrel, azaz a gyakorlatban az alsó határ nem elérhető.
- Indikátorok megvalósítása. A tranziens folyamatok végének pillanatait jelző csatornák T-flip-flopok alapján épülnek fel. Mivel a G-flip-flop tartalmaz egy ÉS komponenst, a bemeneteinek száma korlátozott. Ezért vagy G-flip-flop piramisokat vagy párhuzamos tömörítési rendszereket kell használni, ami berendezések költségeihez és a kijelző áramköri késleltetésének növekedéséhez vezet, ami drasztikusan csökkentheti a teljesítményt a valós késleltetéseken végzett munka miatt. A MOS tranzisztor kétirányú vezetőképességének felhasználásával gyakorlatilag korlátlan számú bemenettel és jelzett bemenetenként 4 tranzisztor berendezés fogyasztásával kétfokozatú jelzőáramkört építhet [155] [156] [154] .
- Egyes önszinkron eszközök a szinkron megvalósításhoz képest elhanyagolható hardvernövekedéssel implementálhatók. Például számlálók (1974) és memória (1986) [157] [158] [159] [160] .
- A késleltetéstől független áramkörök (DI [161] , hab-gumi burkolat [162] ), amelyek egyetlen kimenettel rendelkező elemekből állnak, csak invertereket és C-elemeket tartalmazhatnak, ami nem teszi lehetővé kellő rugalmasságú gyakorlati áramkörök építését [112]. [163] . Lehetetlen a késleltetéstől teljesen független G-trigger, RS-trigger, T-trigger felépítése [117] .
- Bármely elosztási séma helyesen megvalósítható két bemenetes ÉS-NEM (OR-NOT) elemeken, amelyek teherbírása legfeljebb kettő. Bármely félmoduláris áramkör csak akkor valósítható meg helyesen, ha ezeket az elemeket együtt használják, vagy ha három bemenetes ÉS-VAGY NEM elemeket használnak. A félmoduláris áramkörök csak NAND (OR-NOT) elemeken való helyes megvalósításának kérdése nyitva marad [2] [164] [165] . A gyakorlatban azonban a minimális alapnak nincs sok értelme az így létrejövő áramkörök nagy bonyolultsága miatt. Az elágazási együtthatók értékének növekedésével és a funkcionalitás növekedésével az áramkörök kompaktabbá válnak. A modern CMOS technológiában célszerű olyan elemeket használni, amelyek összetettsége nem haladja meg a 4I-4OR-NOT értéket. Nincs olyan NAND elemekből álló félmoduláris áramkör, amely ne lenne érzékeny a késleltetésekre legalább két olyan vezeték ágában, amely egy olyan elem kimenetére csatlakozik, amelynél ennek az áramkörnek az állapotai élnek [166] . Ha a vezeték elágazik, akkor ez egy VAGY funkció, tehát valahol jelezni kell a jeleket az elágazó vezetékekben (OR-kondicionálás). A fentiek mindegyike csak egy parafázisos megvalósításra igaz, amelynek speciális esete egy C-elem csak NAND elemeken való megvalósítása. Az egyfázisú elosztó áramkörök csak NAND elemeken való megvalósításának kérdése nyitva marad. Egyfázisú C-elem esetén azonban mindkét elemtípusra szükség van. Valóban, az erős ok-okozati összefüggés megvalósításához az emelkedő frontokon szükség van egy ÉS-NEM elemre, a csökkenőeknél pedig - VAGY NEM.
- Ugyanazon a vezetéken a kérés feszültséggel, a nyugtázás árammal továbbítható. Ebben az esetben a tranziens folyamatok végének pillanatainak jelzésére a CMOS elemek fogyasztott áramának érzékelőit kell használni. Az ilyen érzékelőket azonban nehéz megvalósítani, és teljesítményük nem kielégítő. Így a kombinált kijelző ötlete nem vezet a berendezés egyszerűsítéséhez a gyakorlatban. Ennek az ötletnek a sikeres felhasználására példa az önszinkron adatátvitel módszere, ahol minden bitet egy vezetéken továbbítanak [167] . Ehhez a módszerhez csak vezetékekre van szükség a bináris bitkód párhuzamos átviteléhez , és teljesítménye nem rosszabb, mint két vezetéken történő adatátvitelnél.
- A tranziens befejezés jelzői több kimenettel rendelkező küszöbáramkörök alapján szerkeszthetők [168] .
Bibliográfia
- ↑ 1 2 3 4 5 6 7 8 9 10 11 12 13 14 A. G. Astanovsky, V. I. Varshavsky, V. B. Marakhovsky stb. Aperiodikus automaták. M. Nauka, 1976, 423 p. (nem elérhető link)
- ↑ 1 2 3 4 5 6 7 8 9 10 11 V. I. Varshavsky, M. A. Kishinevskiy, V. B. Marakhovsky stb. Aszinkron folyamatok automatizált vezérlése számítógépekben és diszkrét rendszerekben. M.: Nauka, 1986. (hozzáférhetetlen link) ( VI Varshavsky (szerk.). Self-Timed Control of Concurrent Processes. (elérhetetlen link) )
- ↑ 1 2 3 V. I. Varshavsky, V. B. Marakhovsky, L. Ya. Rosenblum, A. V. Yakovlev, "Aszinkron párhuzamos folyamatok és önszinkron áramkörök", Elektronikus technológia. Ser. Volt. minőség, szabványosítás, metrológia, vizsgálatok, 1. évf. 5. 4. szám, 1988. 3-33.
- ↑ 1 2 3 4 A. V. Yakovlev, A. M. Koelmans, "Petrinets and digital hardware design", Lectures on Petri Nets II: Applications, vol. 1492, 154-236, 1998.
- ↑ CH van Berkel, MB Josephs, SM Nowick, "Applications of asynchronous circuits", Proceedings of the IEEE, vol. 87. sz. 2, pp. 223-233, 1999 . Letöltve: 2015. szeptember 16. Az eredetiből archiválva : 2015. november 5.. (határozatlan)
- ↑ PSK Siegel, Automatikus technológiai leképezés aszinkron tervezésekhez. PhD disszertáció, Stanford Egyetem, 1995, 159 p. . Letöltve: 2015. július 14. Az eredetiből archiválva : 2015. július 14. (határozatlan)
- ↑ P. Franklin, D. Winkel és E. Brunvand, "A moduláris önidőzített tervezési stílusok összehasonlítása", UUCS-95-025 jelentés, Utah Egyetem, 1995 . Letöltve: 2016. március 5. Az eredetiből archiválva : 2017. augusztus 1.. (határozatlan)
- ↑ CG Wong, AJ Martin és P. Thomas, "An Architecture for asynchronous FPGAs", IEEE Int. Konferencia a Field-Programmable Technológiáról (FPT) 2003, pp. 170-177.
- ↑ D. Shang, F. Xia, A. Yakovlev, "Aszinkron FPGA architektúra elosztott vezérléssel", IEEE Int. Symposium on Circuits and Systems (ISCAS) 2010, pp. 1436-1439. . Letöltve: 2015. július 23. Az eredetiből archiválva : 2015. július 24. (határozatlan)
- ↑ Y. Komatsu, M. Hariyama és M. Kameyama, "Architecture of an Asynchronous FPGA for Handshake-Component-Based Design", IEICE Transactions on Information and Systems, vol. E96-D, sz. 8, 2013, pp. 1632-1644. . Letöltve: 2017. július 26. Az eredetiből archiválva : 2017. július 31. (határozatlan)
- ↑ Renesas Electronics. ASIC termékáttekintés, 2011. . Hozzáférés dátuma: 2019. november 15. Az eredetiből archiválva : 2019. november 15. (határozatlan)
- ↑ M. Courvoisier, "Aszinkron logikai tömb párhuzamos logikai rendszerek megvalósításához", Electronics Letters, vol. 14. sz. 4, pp. 119-121, 1978.
- ↑ RW Hartenstein, A. Hirschbiel és M. Weber, „Patil array – A Petri net hardver implementáció”, CompEuro 1988, pp. 26-33.
- ↑ W. Eisele, G. Eckstein, J. Beister, "VMEbus controller synthesis by communication asynchronous szekvenciális áramkörök", Kaiserslautern University, 1994. . Hozzáférés dátuma: 2016. február 27. Az eredetiből archiválva : 2016. március 6. (határozatlan)
- ↑ L. Lloyd, K. Heron, AM Koelmans, AV Yakovlev, "Aszinkron mikroprocesszorok: A magas szintű modelltől az FPGA megvalósításig", Journal of Systems Architecture, vol. 45, sz. 12-13, pp. 975-1000, 1999 . Letöltve: 2016. február 27. Az eredetiből archiválva : 2012. július 12. (határozatlan)
- ↑ 1 2 S. W. Moore és P. Robinson, "Rapid prototyping of self-timed circuits", IEEE Int. Számítógép-tervezési Konferencia (ICCD) 1998, pp. 360-365. . Letöltve: 2016. március 1. Az eredetiből archiválva : 2017. augusztus 8.. (határozatlan)
- ↑ 1 2 K. Meekins, D. Ferguson és M. Basta, "Delay insensitive NCL reconfigurable logic", IEEE Aerospace Conference 2002, vol. 4, pp. 1961-1966
- ↑ JV Manoranjan és KS Stevens, "Burst-mode aszinkron vezérlő megvalósítása FPG-n relatív időzítéssel", IEEE Southern Conference on Programmable Logic (SPL) 2014, pp. 1-6. . Letöltve: 2017. július 31. Az eredetiből archiválva : 2017. július 31. (határozatlan)
- ↑ R. Payne, "Asynchronous FPGA Architectures", IEE Proceedings, Computers and Digital Techniques, vol. 143. sz. 5, pp. 282-286, 1996 . Letöltve: 2016. március 3. Az eredetiből archiválva : 2016. március 10. (határozatlan)
- ↑ P.Y.K. Cheung. Az aszinkron ötletek hasznosak az FPGA-kban? . This Asynchronous World 87-95 (2016). Letöltve: 2017. február 19. Az eredetiből archiválva : 2017. február 20.. (határozatlan)
- ↑ Hajduk Z., "Egyszerű módszer az aszinkron áramkörök megvalósításához kereskedelmi FPGA-kban", Integration the VLSI Journal, vol. 59, 2017, pp. 31-41.
- ↑ VB Marakhovsky, AV Surkov, "Interaktív automaták GALA-rendszerei", Műszaki jelentés, 2016 . Letöltve: 2016. június 13. Az eredetiből archiválva : 2016. június 17. (határozatlan)
- ↑ MB Gokhale, PS Graham, Field-Programmable Gate Arrays, § 2.1 in Reconfigurable Computing: Accelering Computation with Field-Programmable Gate Arrays. Springer, 2005, 238 p. . Letöltve: 2019. április 17. Az eredetiből archiválva : 2019. április 17. (határozatlan)
- ↑ H. Kaeslin, Terepi programozható logika, Ch. 2 in Top-Down Digital VLSI Design: From Architectures to Gate-Level Circuits and FPGAs, pp. 41-61, Elsevier, 2014 . Letöltve: 2019. április 17. Az eredetiből archiválva : 2019. április 17. (határozatlan)
- ↑ JC Kalb, "JK master-slave flip-flop", US3591856 szabadalom, júl. 6, 1971. . Letöltve: 2019. július 29. Az eredetiből archiválva : 2019. július 29. (határozatlan)
- ↑ D. Sokolov, I. Poliakov és A. Yakovlev, "Asynchronous data path models", IEEE Int. Konferencia a párhuzamosság alkalmazásáról a rendszertervezésben (ACSD) 2007, pp. 197-210. . Letöltve: 2019. augusztus 4. Az eredetiből archiválva : 2018. június 17. (határozatlan)
- ↑ Y. Zhou, C. Shi, Z. Deng és A. Yakovlev, "Aszinkron kétsínes kódolt áramkörök szintézise és optimalizálása részleges nyugtázás alapján", IEEE Int. Konferencia az ASIC 2017-ről, pp. 496-503. . Letöltve: 2019. augusztus 6. Az eredetiből archiválva : 2019. augusztus 6.. (határozatlan)
- ↑ bemutató:szintézis:inicializálás:start - Workcraft . Letöltve: 2019. április 8. Az eredetiből archiválva : 2019. április 8.. (határozatlan)
- ↑ A két- és hárombemenetes G-flip-flop tranzisztoros áramkör legalább 1969 óta ismert
JJ Gibson: "Logic circuits employing field effect tranzistor", US3439185, ápr. 1969. 15. Archiválva : 2019. március 30. a Wayback Machine -nél
- ↑ 1 2 C. H. van Berkel, "Vigyázz az izokronikus villára", UR 003/91 jelentés, Philips Research Labs, 1991.
- ↑ 1 2 3 R. Miller, Sebességfüggetlen kapcsolóáramkörök elmélete, Ch. 10 a könyvben. A kapcsolóáramkörök elmélete. 2. kötet: Szekvenciális áramkörök és gépek. Nauka, 1971, 242-298. Archivált : 2016. március 4. a Wayback Machine -nél (RE Miller, "Theory of speed-independent circuits", Ch. 10 in Switching Theory. Vol. 2: Sequential circuits and machines. Wiley, 1965.)
- ↑ SJ Silver, JA Brzozowski, "True concurrency in models of asynchronous circuit behavior", Formal Methods in System Design, vol. 22, sz. 3, pp. 183-203, 2003 . Letöltve: 2016. február 5. Az eredetiből archiválva : 2022. január 21.. (határozatlan)
- ↑ M. Kishinevsky, A. Kondratyev, A. Taubin, V. Varshavsky, "Sebességfüggetlen áramkörök elemzése és azonosítása eseménymodellben", Formal Methods in System Design, vol. 4, sz. 1, pp. 33-75, 1994. Archiválva 2018. június 11-én a Wayback Machine -nél ( "Analysis and Identification of Velocity-Independent Circuits in an Event Model" Archivált : 2015. július 22. a Wayback Machine -nél )
- ↑ SH Unger, „Önszinkronizáló áramkörök és nem alapvető üzemmódú működés”, IEEE Transactions on Computers, vol. C-26, sz. 3, pp. 278-281, 1977.
- ↑ AV Yakovlev, AM Koelmans, L. Lavagno, "Aszinkron interfész logika magas szintű modellezése és tervezése", preprint, 1995. . Letöltve: 2015. július 23. Az eredetiből archiválva : 2015. augusztus 7.. (határozatlan)
- ↑ JA Brzozowski, "Témák az aszinkron áramkör elméletben", Recent Advances in Formal Languages and Applications, vol. 25, pp. 2006. 11-42 . Letöltve: 2015. július 17. Az eredetiből archiválva : 2015. július 22. (határozatlan)
- ↑ M. Shams, JC Ebergen, MI Elmasry, "Asynchronous Circuits", Wiley Encyclopedia of Electrical and Electronics Engineering, pp. 1999. 1-23 . Hozzáférés dátuma: 2016. január 30. Az eredetiből archiválva : 2012. április 12. (határozatlan)
- ↑ I. E. Sutherland, "Micropipelines", Communications of the ACM, vol. 32. sz. 6, pp. 720-738, 1989 . Letöltve: 2015. július 27. Az eredetiből archiválva : 2016. szeptember 10.. (határozatlan)
- ↑ G. Cornetta, J. Cortadella, "Asynchronous pipelined datapaths tervezési technikák. A felmérés", pp. 1997. 1-31 . Letöltve: 2015. szeptember 13. Az eredetiből archiválva : 2015. szeptember 28.. (határozatlan)
- ↑ M. Singh, SM Nowick, "MOUSETRAP: ultra-high-speed átmeneti-jeladó aszinkron csővezetékek", Nemzetközi Számítógép-tervezési Konferencia (ICCD) 2001, pp. 9-17. . Letöltve: 2015. július 27. Az eredetiből archiválva : 2015. szeptember 27.. (határozatlan)
- ↑ I. Sutherland és S. Fairbanks, "GasP: A minimal FIFO control", International Symposium on Asynchronous Circuits and Systems (ASYNC) 2001, pp. 46-53. . Letöltve: 2015. július 29. Az eredetiből archiválva : 2015. szeptember 27.. (határozatlan)
- ↑ V. Varshavsky, "Rendszeridő és rendszeridőzítés", Int. Konf. on Semigroups & Algebraic Engineering 1997, pp. 1-25. . Hozzáférés dátuma: 2016. január 28. Az eredetiből archiválva : 2016. február 4. (határozatlan)
- ↑ V. Varshavsky, "Idő, időzítés és órajel masszívan párhuzamos számítási rendszerekben", Int. Konf. on Massively Parallel Computing Systems 1998, pp. 100-106. (nem elérhető link) . Hozzáférés dátuma: 2016. január 28. Az eredetiből archiválva : 2016. február 3. (határozatlan)
- ↑ 1 2 3 V. B. Marakhovsky, L. Ya. Rosenblum, A. V. Yakovlev. Párhuzamos folyamatok szimulációja. Petri hálók. Szentpétervár, Szakirodalom, 2014, 400-as évek.
- ↑ RF Tinder, Engineering Digital Design, 2. kiadás, Academic Press, 2000, 884 p. . Hozzáférés dátuma: 2015. november 16. Az eredetiből archiválva : 2015. november 17. (határozatlan)
- ↑ RF Tinder, Aszinkron szekvenciális gépek tervezése és elemzése: Órafüggetlen állapotú gépek és rendszerek tervezésének és elemzésének átfogó fejlesztése, Morgan és Claypool, 2009, 235. o. . Hozzáférés dátuma: 2015. november 16. Az eredetiből archiválva : 2015. november 17. (határozatlan)
- ↑ HW Lawson, B. Malm, "A flexibilis aszinkron mikroprocesszor", BIT Numerical Mathematics, vol. 13. sz. 2, pp. 165-176, 1973 . Letöltve: 2017. október 3. Az eredetiből archiválva : 2018. január 31.. (határozatlan)
- ↑ A. A. Vasenkov et al., "Microprocessor computing system", SU674025 szerzői bizonyítvány, 1979.07.15.
- ↑ 1 2 B. J. Nordmann, B. H. McCormick, "Modular asynchronous control design", IEEE Transactions on Computers, vol. C-26, sz. 3, pp. 196-207, 1977 . Letöltve: 2015. szeptember 29. Az eredetiből archiválva : 2015. szeptember 30. (határozatlan)
- ↑ H. Lawson: A mikroprogramozás aszinkron megközelítése. 3. fejezet: Mikroprogramozás és firmware tervezési módszerek. (szerk. S. Habib), Wiley, 1988.
- ↑ R. Tinder, R.I. Klaus, "Mikroprogramozható aszinkron vezérlők digitális elektronikus rendszerekhez", US5063536 szabadalom, nov. 5, 1991.
- ↑ RF Tinder, RI Klaus, JA Snodderley, „Nagy sebességű mikroprogramozható aszinkron vezérlőmodulok”, IEEE Transactions on Computers, vol. 43. sz. 10, pp. 1226-1232, 1994.
- ↑ 4.5.3. fejezet D. I. Juditszkij életrajzában . Letöltve: 2015. július 27. Az eredetiből archiválva : 2015. július 20. (határozatlan)
- ↑ 587. rész archiválva : 2015. július 17.
- ↑ S. T. Khvoshch, N. N. Varlinsky és E. A. Popov, Mikroprocesszorok és mikroszámítógépek automatikus vezérlőrendszerekben. Könyvtár. L. Mashinostroenie, 1987, 638 p.
- ↑ 1883/U830 sorozat archiválva : 2015. július 22.
- ↑ WM Loucks, M. Snelgrove és SG Zaky, "Egy bites mikroprocesszorokon alapuló vektorprocesszor", IEEE Micro, vol. 2, sz. 1, pp. 53-62, 1982 . Letöltve: 2017. július 23. Az eredetiből archiválva : 2018. január 31.. (határozatlan)
- ↑ A. Yakovlev, Asynchronous Design: Quo Vadis? DDECS, Bécs 2010 . Letöltve: 2015. július 20. Az eredetiből archiválva : 2017. augusztus 9.. (határozatlan)
- ↑ 1 2 A. Yakovlev, M. Kishinevsky, A. Kondratyev és L. Lavagno, "OR ok-okozati összefüggés: modellezés és hardver implementáció", Int. Konferencia a Petri-hálók alkalmazásáról és elméletéről, 1994, pp. 568-587. . Letöltve: 2019. április 20. Az eredetiből archiválva : 2018. június 17. (határozatlan)
- ↑ 1 2 3 A. Yakovlev, M. Kishinevsky, A. Kondratyev, L. Lavagno, M. Pietkiewicz-Koutny, "On the Models for asynchronous circuit behavior with OR causality", Formal Methods in System Design, vol. 9, sz. 3, pp. 189-233, 1996. Archiválva : 2016. március 5. a Wayback Machine segítségével ( "On Models for Asynchronous Circuit Mode with Causal OR") Archiválva : 2015. július 24. a Wayback Machine segítségével
- ↑ DA Pucknell, „Eseményvezérelt logikai (EDL) megközelítés a digitális rendszerek megjelenítéséhez és a kapcsolódó tervezési folyamatokhoz”, IEE Proceedings E, Computers and Digital Techniques, vol. 140, sz. 2, pp. 119-126, 1993.
- ↑ V. I. Varshavsky, V. B. Marakhovsky, V. A. Peschansky et al., "Sekvenciális számláló", Szerzői bizonyítvány SU618853, 1978.05.08.
- ↑ V. I. Varshavsky, V. B. Marakhovsky, V. A. Peschansky et al., "Sekvenciális számláló", Szerzői igazolás SU706934, 1979.12.30.
- ↑ B. S. Tsirlin, „Sekvenciális számláló”, SU1160558 szerzői jogi tanúsítvány, 1985.07.06.
- ↑ B. S. Tsirlin, "Számláló", Szerzői bizonyítvány SU1205303, 1986.01.15.
- ↑ K. van Berkel és A. Bink, "Single-track handshake signaling with application to micropipelines and handshake circuits", IEEE Int. Symposium on Advanced Research in Asynchronous Circuits and Systems, 1996, pp. 122-133.
- ↑ AS Wojcik, KY Fang, "A háromértékű aszinkron modulok tervezéséről", IEEE Transactions on Computers, vol. C-29, no.10, pp. 889-898, 1980.
- ↑ J. Tse, B. Hill, R. Manohar, "Egy kis elemzés az önidőzített egybites on-chip hivatkozásokról", IEEE Int. Symposium on Asynchronous Circuits and Systems (ASYNC) 2013, pp. 124-133. . Letöltve: 2015. október 2. Az eredetiből archiválva : 2015. október 3.. (határozatlan)
- ↑ JC Sims és HJ Gray, "Az autoszinkron áramkörök tervezési kritériumai", IEE Eastern Joint Computer Conference (AFIPS) 1958, vol. 14, pp. 94-99. . Letöltve: 2015. október 3. Az eredetiből archiválva : 2015. október 4.. (határozatlan)
- ↑ 1 2 3 D. E. Muller, "Asynchronous logics and application to information processing," Symposium on the Application of Switching Theory in Space Technology, pp. 289-297, 1963 . Letöltve: 2015. szeptember 16. Az eredetiből archiválva : 2015. szeptember 29.. (határozatlan)
- ↑ 1 2 G. Cemanek, "Sequential Asynchronous Logic", IFAC International Symposium Theory of Finite and Probabilistic Automata, 1962, 232-245. 2015. október 5-én archiválva a Wayback Machine -nél is ( H. Zemanek, "Sequentielle asynchrone Logik," Elektronische Rechenanlagen, 4. köt., 6. szám, 248-253. o., 1962. )
- ↑ J. Sparsø, J. Staunstrup, M. Dantzer-Sørenson, "Késésérzéketlen áramkörök tervezése többgyűrűs szerkezetekkel", European Design Automation Conference, 1992, pp. 15-20. (nem elérhető link) . Hozzáférés időpontja: 2015. szeptember 17. Az eredetiből archiválva : 2015. szeptember 29. (határozatlan)
- ↑ A. Kondratyev, K. Lwin, "Aszinkron áramkörök tervezése szinkron CAD-eszközök használatával", IEEE Design & Test of Computers, vol. 19, sz. 4, pp. 107-117, 2002. Az eredetiből archiválva : 2015. szeptember 29.
- ↑ A. Smirnov, A. Taubin, "Synthesizing asynchronous micropipelines with design compiler", Synopsys Users Group Conference, pp. 1-33, 2006. (nem elérhető link) . Letöltve: 2015. szeptember 21. Az eredetiből archiválva : 2015. szeptember 29. (határozatlan)
- ↑ A. Bystrov, D. Sokolov, A. Yakovlev, "Low-latency control structures with lalac", IEEE Int. Symposium on Asynchronous Circuits and Systems (ASYNC) 2003, pp. 164-173.
- ↑ D. Sokolov, I. Poliakov, A. Yakovlev, "Analysis of static data flow structures", Fundamenta Informaticae, vol. 88, sz. 4, pp. 581-610, 2008 . Letöltve: 2016. augusztus 7. Az eredetiből archiválva : 2017. augusztus 24.. (határozatlan)
- ↑ AM Lines, "Csővezetékes aszinkron áramkörök", CS-TR-95-21 jelentés, California Institute of Technology, 1998. . Letöltve: 2018. március 15. Az eredetiből archiválva : 2017. október 14.. (határozatlan)
- ↑ V. I. Varshavsky, A. Yu. Kondratiev és V. A. Romanovsky és B. S. Tsirlin, "Kombinációs összeadó", Szerzői bizonyítvány SU1596321, 1990.09.30.
- ↑ V. A. Druzhinin és S. A. Yuditsky, "Jól formált Petri-hálók tervezése szabványos blokkokból", Automatizálás és telemechanika, 1992, 12. sz., 115-121. (VA Druzhinin és SA Yuditskii, "Jól formázott Petri-hálók építése szabványos alhálózatokból", Automatizálás és távvezérlés, 53. köt., 12. szám, 1992, 1922-1927.)
- ↑ MT Moreira, JJH Pontes, NLV Calazans, „Tradeoffs between RTO and RTZ in WCHB QDI asynchronous design”, IEEE Int. Symposium on Quality Electronic Design (ISQED) 2014, pp. 692-699. . Letöltve: 2015. szeptember 22. Az eredetiből archiválva : 2015. október 3.. (határozatlan)
- ↑ M. Courvoisier és P. Azema, "Aszinkron szekvenciális gépek kérés/nyugtázás üzemmóddal", Electronics Letters, vol. 10, sz. 1, pp. 1974. 8-10.
- ↑ V. Varshavsky és V. Marakhovsky, "A diszkrét eseménykoordináció hardveres támogatása", IEE Int. Workshop on Discrete Event Systems (WoDES) 1996, p. 332-339. . Letöltve: 2015. szeptember 21. Az eredetiből archiválva : 2015. szeptember 29. (határozatlan)
- ↑ A. Yakovlev, F. Burns, A. Bystrov, D. Shang, D. Sokolov: "A kocka ki van vetve a jelzőjátékhoz?" Int. Conference on Application and Theory of Petri Nets (ICATPN) 2002 Az eredetiből archiválva : 2016. március 2.
- ↑ D. Shang, Asynchronous Communication Circuits: Design, Test, and Synthesis, PhD értekezés, Newcastle University, 2003, 248 p. . Letöltve: 2015. október 6. Az eredetiből archiválva : 2015. október 7.. (határozatlan)
- ↑ V. I. Varshavsky, V. B. Marakhovsky, B. S. Tsirlin és I. V. Yatsenko, "Ring aszinkron elosztó", szerzői bizonyítvány SU1322452, 1987.07.07.
- ↑ S. G. Arutyunyan és V. Sh. Arutyunyan, "Ring aszinkron elosztó", szerzői bizonyítvány SU1629978, 1991.02.23.
- ↑ AJ Martin, Programozás VLSI-ben: A kommunikációs folyamatoktól a késleltetésre nem érzékeny áramkörökig. Jelentés CS-TR-89-1, California Institute of Technology, 1989, 66 p. . Letöltve: 2015. szeptember 15. Az eredetiből archiválva : 2015. szeptember 27.. (határozatlan)
- ↑ MB Josephs, AM Bailey, "Az SI-algebra használata szekvenszer-áramkörök tervezésében", Formal Aspects of Computing, vol. 9, sz. 4, 395-408, 1997. . Letöltve: 2017. október 3. Az eredetiből archiválva : 2018. június 5. (határozatlan)
- ↑ JW Foltz, "Bináris flip-flop, amely szigetelt térhatású tranzisztorokat alkalmaz, és alkalmas kaszkádos frekvenciaosztó működésre", US3679913 szabadalom, júl. 1972. 25. . Letöltve: 2019. augusztus 1. Az eredetiből archiválva : 2019. augusztus 1.. (határozatlan)
- ↑ S. Clapper, "Resetable binary flip-flop of the semiconductor type", US3753009 szabadalom, aug. 1973. 14. . Letöltve: 2019. augusztus 10. Az eredetiből archiválva : 2019. augusztus 10. (határozatlan)
- ↑ Yu. G. Bondarenko, "Trigger számláló bemenettel", SU425356 szerzői jogi tanúsítvány, 1974.04.25. . Letöltve: 2019. július 1. Az eredetiből archiválva : 2019. július 1. (határozatlan)
- ↑ EA Vittoz, "Frekvenciaosztó logikai struktúra", US3829714 szabadalom, aug. 1974. 13. . Letöltve: 2019. augusztus 1. Az eredetiből archiválva : 2019. augusztus 1.. (határozatlan)
- ↑ G. S. Brailovsky, "Trigger", Szerzői jog tanúsítvány SU785961, 1980.12.07. . Letöltve: 2019. március 20. Az eredetiből archiválva : 2019. március 20. (határozatlan)
- ↑ 1 2 V. I. Goryachev, V. M. Klimashin, M. A. Komarov et al., "Counting trigger", Copyright Certificate SU362351, 12/13/1972. . Letöltve: 2019. június 26. Az eredetiből archiválva : 2019. június 26. (határozatlan)
- ↑ V. I. Goryachev, B. M. Mansurov et al., "Egyciklusú számláló trigger", szerzői bizonyítvány SU371853, 1979.03.05. . Letöltve: 2019. június 26. Az eredetiből archiválva : 2019. június 26. (határozatlan)
- ↑ N. G. Korobkov et al., "Bináris soros számláló kisütése", SU1014151 szerzői jogi tanúsítvány, 1983.04.23. . Letöltve: 2019. június 30. Az eredetiből archiválva : 2019. június 30. (határozatlan)
- ↑ 1 2 3 V. Varshavsky és V. Marakhovsky, "Global synchronization of asynchronous arrays", IEEE Int. Symposium on Parallel Algorithms/Architecture Synthesis, 1997, pp. 207-215.
- ↑ R.C. Todd, "Logic system", US3609569 számú szabadalom, szep. 1971. 28. . Letöltve: 2019. április 12. Az eredetiből archiválva : 2019. április 12. (határozatlan)
- ↑ N. Starodoubtsev, A. Bystrov és A, Yakovlev, "Félmoduláris reteszláncok aszinkron áramkörök tervezéséhez", Int. Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS) 2000, pp. 168-177. . Letöltve: 2017. július 20. Az eredetiből archiválva : 2018. január 31.. (határozatlan)
- ↑ A. Madalinski, V. Khomenko és A. Yakovlev, "Kódolási konfliktusok interaktív feloldása aszinkron áramkörökben STG kibontakozások alapján", 2. sz. műszaki jelentés. CS-TR-944, Számítástechnika, University of Newcastle upon Tyne, 2006.
- ↑ GT Osborne, „Aszinkron bináris számlálóregiszter-fokozat flip-floppal és kapuval, számos összekapcsolt NOR áramkört használva”, US3139540 szabadalom, jún. 1964. 30 Letöltve: 2019. július 28. Az eredetiből archiválva : 2019. július 28. (határozatlan)
- ↑ V. I. Goryachev, B. M. Mansurov, Ya. D. Martynenko és R. G. Talibov, „Négyfázisú impulzuselosztó”, szerzői bizonyítvány SU342299, 1972.06.14. . Letöltve: 2019. március 25. Az eredetiből archiválva : 2019. április 3. (határozatlan)
- ↑ V. I. Varshavsky, N. M. Kravchenko, V. B. Marakhovsky és B. S. Tsirlin, "Counting trigger on CMOS tranzisztorok", szerzői tanúsítvány SU1398069, 1988.05.23.
- ↑ B. Tsirlin és A. Kushnerov, "Digitális áramkörök felismerése. Aszinkron számláló trigger", Preprint, 2019.10.30. . Letöltve: 2019. november 2. Az eredetiből archiválva : 2019. november 2. (határozatlan)
- ↑ B. S. Tsirlin, V. A. Romanovsky, A. Yu. Kondratiev és N. A. Goldin, „Számláló trigger”, szerzői bizonyítvány SU1748230, 1992.07.15.
- ↑ Z. B. Sheidin, A. G. Gabsalyamov, I. V. Berg, "Trigger számláló bemenettel komplementer MIS tranzisztorokon", Szerzői bizonyítvány SU1622925, 1991.01.23. . Letöltve: 2019. július 10. Az eredetiből archiválva : 2019. július 10. (határozatlan)
- ↑ JC Nelson, Sebességfüggetlen számláló áramkörök. számú jelentés 71, Digital Computer Laboratory, University of Illinois, Urbana-Champaign, 1956.
- ↑ V. I. Varshavsky, V. B. Marakhovsky, V. A. Peschansky et al., "Sekvenciális számláló", Szerzői bizonyítvány SU561298, 1977.05.06.
- ↑ VI Varshavsky, VB Marakhovsky és VV Smolensky, „Önidőzítő eszközök tervezése véges automata modell segítségével”, IEEE Design & Test of Computers, 20. évf. 12, sz. 1, pp. 14-23, 1995 (nem elérhető link) . Letöltve: 2019. június 5. Az eredetiből archiválva : 2019. június 5. (határozatlan)
- ↑ AV Yakovlev, AM Koelmans, A. Semenov, DJ Kinniment, "Aszinkron vezérlőáramkörök modellezése, elemzése és szintézise Petrinets segítségével", Integration, the VLSI Journal, vol. 21, sz. 3, pp. 143-170, 1996.
- ↑ O. Benafa, D. Sokolov és A. Yakovlev, "Loadable Kessels Counter", IEEE Int. Symposium on Asynchronous Circuits and Systems (ASYNC) 2018, pp. 102-109. . Letöltve: 2019. április 7. Az eredetiből archiválva : 2019. április 7.. (határozatlan)
- ↑ 1 2 A. J. Martin, "A késleltetés-érzéketlenség korlátai az aszinkron áramkörökben", Advanced Research in VLSI, 1990, pp. 263-278.
- ↑ K. van Berkel, F. Huberts, A. Peeters, "Kvázi késleltetési érzéketlenség nyújtása kiterjesztett izokronikus villákkal", Asynchronous Design Methodologies, 1995, pp. 99-106.
- ↑ N. Sretasereekul, T. Nanya, "Izokronikus villakényszerek kiküszöbölése kvázi-késleltetésérzéketlen áramkörökben", Asia and South Pacific Design Automation Conference (ASP-DAC) 2001, pp. 437-442.
- ↑ Y. Li: Az időzítési problémák orvoslása a sebességtől független áramkörökben a mikron alatti korban. PhD értekezés, Newcastle University, 2012, 153 p. . Letöltve: 2015. október 3. Az eredetiből archiválva : 2015. október 4.. (határozatlan)
- ↑ VI Varshavsky, A tranzisztorok és vezetékek késleltetésére érzéketlen áramkörök. számú műszaki jelentés 7, Helsinki Műszaki Egyetem, 1989, 42 p. . Letöltve: 2015. október 1. Az eredetiből archiválva : 2015. október 2.. (határozatlan)
- ↑ 1 2 3 M. Kishinevsky, A. Kondratyev, A. Taubin and V. Varshavsky, Review of the State-of-the-Art in Self-timing, Ch. 8, Concurrent Hardware: The Theory and Practice of Self-Timed Design , Wiley, 1993, 388 p. . Letöltve: 2015. november 15. Az eredetiből archiválva : 2015. november 17.. (határozatlan)
- ↑ S. Hauck, "Asynchronous design methodologies: An overview", Proceedings of the IEEE, vol. 83. sz. 1, pp. 69-93, 1995. ( "Asynchronous Design Methodologies: A Brief Overview" Archivált 2015. július 22-én a Wayback Machine -nél )
- ↑ A. Davis és SM Nowick, "Bevezetés az aszinkron áramkör-tervezésbe", UUCS-97-013 jelentés, Utah Egyetem, 1997 . Letöltve: 2009. október 7. Az eredetiből archiválva : 2007. június 9.. (határozatlan)
- ↑ V. I. Varshavsky, V. B. Marakhovsky, L. Ya. Rosenblum, A. V. Yakovlev, § 4.3 Periodikus áramkörök, a könyvben. Mesterséges intelligencia, 3. kötet: Szoftver és hardver. Szerk. V. N. Zakharov és V. F. Horosevszkij. Moszkva: Rádió és kommunikáció, 1990.
- ↑ MB Josephs, SM Nowick, CH van Berkel, "Aszinkron áramkörök modellezése és tervezése", Proceedings of the IEEE, vol. 87. sz. 2, pp. 234-242, 1999. (elérhetetlen link) . Letöltve: 2015. szeptember 16. Az eredetiből archiválva : 2016. október 6.. (határozatlan)
- ↑ A. Yakovlev, "Részleges rendelések használata aszinkron áramkörök elemzéséhez és szintéziséhez", Workshop a kibontási és részleges sorrendű technikákról (UFO) 2007, pp. 12-16. . Letöltve: 2015. július 15. Az eredetiből archiválva : 2016. március 4.. (határozatlan)
- ↑ D. Sokolov, A. Yakovlev, "Clockless circuits and system synthesis", IEE Proceedings, Computers and Digital Techniques, vol. 152. sz. 3, pp. 298-316, 2005.
- ↑ JA Brzozowski, C.-JH Seger, "Aszinkron áramkörök tervezése", 15. fejezet az Asynchronous Circuits c. Springer, 1995, 404 p. . Letöltve: 2017. október 3. Az eredetiből archiválva : 2018. január 31.. (határozatlan)
- ↑ R. Puri, Asynchronous Logic Design. Fejezet a Wiley Encyclopedia of Electrical and Electronics Engineeringben, pp. 726-741, 2001 . Letöltve: 2015. augusztus 4. Az eredetiből archiválva : 2015. december 3. (határozatlan)
- ↑ ACiD-WG jelentés a "Design, Automation and Test for Asynchronous Circuits and Systems", szerkesztette: D. Edwards és W. Toms, 2004. Archiválva az eredetiből 2006. október 9-én.
- ↑ B. S. Tsirlin, "G-trigger", SU1324106 szerzői jogi tanúsítvány, 1987.07.15.
- ↑ B. S. Tsirlin, "G-trigger", Szerzői jog tanúsítványa SU1162019, 1985.06.15.
- ↑ B. S. Tsirlin, "G-trigger", Szerzői jog tanúsítvány SU1324107, 1987.07.15.
- ↑ B. S. Tsirlin, „G-trigger”, szerzői jogi tanúsítvány SU1324108, 1987.07.15.
- ↑ L. Ya. Rosenblum, "A jelgráfok nyelve és felhasználása információcsere-protokollok és időszakos áramkörök modellezésére", All-Union szeminárium Modeling of discrete control and computing systems, 1981. 22-24. oldal . Letöltve: 2019. április 19. Az eredetiből archiválva : 2021. július 29. (határozatlan)
- ↑ L. Ya. Rosenblum és AV Yakovlev, "Jelgrafikonok: az önidőzítetttől az időzítettig", IEEE Int. Workshop on Timed Petri Nets, 1985, pp. 199-207. . Letöltve: 2015. szeptember 2. Az eredetiből archiválva : 2003. október 23.. (határozatlan)
- ↑ T.-A. Chu, CKC Leung és TS Wanuga, "A tervezési módszertan párhuzamos VLSI-rendszerekhez", IEEE Int. Számítógép-tervezési Konferencia (ICCD) 1985, pp. 407-410.
- ↑ AV Yakovlev, "Az STG-modell korlátozásairól és bővítéseiről az aszinkron vezérlőáramkörök tervezéséhez", IEEE Int. Számítógép-tervezési Konferencia (ICCD) 1992, pp. 396-400. . Letöltve: 2016. március 10. Az eredetiből archiválva : 2016. március 11.. (határozatlan)
- ↑ 1 2 V. I. Varshavsky, M. A. Kishinevskii, A. Yu. Kondratiev, "Models for the specification and analysis of process in asynchronous circuits", Izv. A Szovjetunió Tudományos Akadémia. Műszaki kibernetika, 1988, 2. sz., 171-190. Archivált : 2018. január 31. a Wayback Machine -nél (VI Varshavsky, MA Kishinevsky, A. Yu. Kondratyev, L. Ya. Rosenblum és AR Taubin, "Models for specification and analysis of process in asynchronous circuits", Soviet Journal of Computer and Systems Sciences, 26. kötet, 1989, 61-76.)
- ↑ M. A. Kisinevszkij, A. Yu. Kondratyev, A. R. Taubin, "Önidőzített áramkörök specifikációja és elemzése", Journal of VLSI Signal Processing, 1. kötet. 7, sz. 1, pp. 117-135, 1994 . Hozzáférés dátuma: 2015. szeptember 18. Az eredetiből archiválva : 2016. február 3. (határozatlan)
- ↑ U. Schwiegelshohn, L. Thiele, "Properties of Change Diagrams", Fej. 4. Hardvertervezés és Petri Nets, pp. 77-92, 2000 . Letöltve: 2016. január 27. Az eredetiből archiválva : 2016. április 19.. (határozatlan)
- ↑ KM Fant és SA Brandt, "NULL Convention Logic TM : teljes és következetes logika az aszinkron digitális áramkör szintéziséhez", IEEE Int. Konferencia az alkalmazásspecifikus rendszerekről, architektúrákról és processzorokról (ASAP) 1996, pp. 261-273.
- ↑ M. Ligthart, K. Fant, R. Smith, A. Taubin és A. Kondratyev, „Asynchronous design using commercial HDL synthesis tools”, IEEE Int. Symp. aszinkronban. Áramkörök és rendszerek (ASYNC), 2000, pp. 114-125.
- ↑ C. Jeong és SM Nowick, „Technology mapping for robust asynchronous threshold networks”, ACM/IEEE Int. Workshop on Timing Issues (TAU) 2006, pp. 22-27. . Letöltve: 2019. május 11. Az eredetiből archiválva : 2017. augusztus 29. (határozatlan)
- ↑ MT Moreira, CHM Oliveira, RC Porto és NLV Calazans, „NCL+: Return-to-one Null Convention Logic”, IEEE Int. Midwest Symposium on Circuits and Systems (MWSCAS) 2013, pp. 836-839. . Hozzáférés dátuma: 2015. szeptember 22. Az eredetiből archiválva : 2016. február 6. (határozatlan)
- ↑ G. E. Sobelman és D. Parker, "Programozható kaputömb". US5986466 szabadalom, november 16. 1999. . Letöltve: 2020. július 14. Az eredetiből archiválva : 2020. július 14. (határozatlan)
- ↑ 1 2 A. Kondratyev, "Többsínes aszinkron áramlás befejezésérzékeléssel és rendszerrel és módszerrel annak tervezésére", US6526542 szabadalom, febr. 2003. 25. . Letöltve: 2019. május 7. Az eredetiből archiválva : 2019. május 7. (határozatlan)
- ↑ A. I. Bukhshtab, V. I. Varshavsky, V. B. Marakhovsky et al., "Univerzális logikai modul", SU561182 szerzői bizonyítvány, 1977.05.06.
- ↑ RO Winder, "Flip-flop három összekapcsolt többségi-kisebbségi logikai kapuval", US3403267 szabadalom, szept. 1968. 24. . Letöltve: 2019. július 26. Az eredetiből archiválva : 2019. július 26. (határozatlan)
- ↑ RO Winder, "Küszöb kapu számlálók", US3519941 szabadalom, júl. 7, 1970 . Letöltve: 2019. július 26. Az eredetiből archiválva : 2019. július 26. (határozatlan)
- ↑ V. N. Taziyan, "Counting trigger", SU372697 szerzői jogi tanúsítvány, 1973.01.03. . Letöltve: 2019. július 29. Az eredetiből archiválva : 2019. július 29. (határozatlan)
- ↑ S. O. Mkrtchyan, "IK trigger", Szerzői jog tanúsítvány SU421111, 1974.03.25. . Letöltve: 2019. július 26. Az eredetiből archiválva : 2019. július 26. (határozatlan)
- ↑ A. N. Foyda, "Shift register", Szerzői jog tanúsítvány SU643974, 1979.01.25. . Letöltve: 2019. március 25. Az eredetiből archiválva : 2019. március 25. (határozatlan)
- ↑ B. S. Tsirlin, "Aszinkron soros regiszter", Szerzői jog tanúsítvány SU1805501, 1993.03.30.
- ↑ G. Gopalakrishnan, "Néhány szokatlan mikrocsővezeték-áramkör", UUCS-93-015 jelentés, University of Utah, pp. 1993. 1-16 . Letöltve: 2019. április 11. Az eredetiből archiválva : 2019. április 11. (határozatlan)
- ↑ N. Starodoubtsev és A. Yakovlev, "Isochronic Fork-free aszinkron áramkörök", UK Asynchronous Forum 2000, pp. 55-60. . Letöltve: 2022. május 15. Az eredetiből archiválva : 2022. május 15. (határozatlan)
- ↑ N. Starodoubtsev, S. Bystrov és A, Yakovlev, "Monoton áramkörök teljes elismeréssel", IEEE Int. Symposium on Asynchronous Circuits and Systems (ASYNC) 2003, pp. 98-108. . Letöltve: 2017. július 20. Az eredetiből archiválva : 2018. január 31.. (határozatlan)
- ↑ 1 2 V. B. Marakhovsky, Aszinkron áramkörök logikai tervezése. Diák a tanfolyamon, AiVT SPbSPU Tanszék. . Letöltve: 2015. augusztus 22. Az eredetiből archiválva : 2016. március 4.. (határozatlan)
- ↑ V. I. Varshavsky, A. Yu. Kondratiev, N. M. Kravchenko, B. S. Tsirlin, "G-trigger", szerzői bizonyítvány SU1411934, 1988.07.23.
- ↑ V. I. Varshavsky, N. M. Kravchenko, V. B. Marakhovsky, B. S. Tsirlin, "G-trigger", szerzői bizonyítvány SU1443137, 1988.07.12.
- ↑ V. I. Varshavsky, N. M. Kravchenko, V. B. Marakhovsky és B. S. Tsirlin, "CMOS-tranzisztoros tárolóeszköz", szerzői bizonyítvány SU1365129, 1988.07.01.
- ↑ B. S. Tsirlin, A. Yu. Kondratiev, N. A. Goldin és V. A. Romanovsky, „Random Access Memory”, szerzői igazolás SU1589324, 1990.08.30.
- ↑ U. Cummings és A. Lines, "Asynchronous static random access memory", US7161828 szabadalom, január. 2007. 9.
- ↑ A. Baz, D. Shang és A. Yakovlev, „Self-timed SRAM for energy harvesting systems”, Journal of Low Power Electronics, vol. 7, sz. 2, 2011, 274-284. . Letöltve: 2017. július 28. Az eredetiből archiválva : 2017. július 28. (határozatlan)
- ↑ JT Udding, A késleltetésre nem érzékeny áramkörök osztályozása és összetétele, PhD értekezés, Eindhoveni Műszaki Egyetem, 1984.
- ↑ CE Molnar, TP Fang és FU Rosenberger, "Synthesis of delay-insensitive modules", Chapel Hill Conference on VLSI, 1985.
- ↑ H. Saito, A. Kondratyev, J. Cortadella, L. Lavagno, A. Yakovlev, "Mi az ára a késleltetési érzéketlenségnek?" IEEE/ACM Int. Konferencia a számítógéppel segített tervezésről 1999, pp. 316-323. . Hozzáférés dátuma: 2016. január 27. Az eredetiből archiválva : 2016. február 1.. (határozatlan)
- ↑ B. S. Tsirlin, "A szekvenciális áramkörök megvalósításának minimális alapja", Izv. Szovjetunió Tudományos Akadémia, Műszaki Kibernetika, 2. szám, 1985, 91-97. Archiválva : 2018. január 31. a Wayback Machine -nél (BS Tsirlin, "Minimal Basis for Realization of Sequential Circuits", Soviet Journal of Computer and Systems Sciences, 23. évf., 1985, 26-31.)
- ↑ V. I. Varshavsky, M. A. Kishinevskiy, V. B. Marakhovsky, L. Ya. Rosenblum, "Funkcionális teljesség a félmoduláris áramkörök osztályában", Proceedings of the Academy of Sciences of the Soviets, Technical Cybernetics, No. 3, 1985, p. 103—114. ( VI Varshavskiy, MA Kishinevskiy, VB Marakhovskiy és L. Ya. Rozenblyum, "Funkcionális teljesség a félmoduláris áramkörök osztályában", Soviet Journal of Computer and Systems Sciences, 23. kötet, 6. szám, 1985, 70-80. Archivált : 2018. január 31. a Wayback Machine -nél )
- ↑ B. S. Tsirlin, "A sebességtől nem függő NAND alapú áramkörök megvalósításának egyenértékű problémáinak áttekintése", Izv. Szovjetunió Tudományos Akadémia, Műszaki Kibernetika, 2. szám, 1986, 159-171. Archiválva : 2017. július 29. a Wayback Machine -nél (BS Tsirlin, "A felmérés az AND-NOT alapú áramkörök megvalósításának ekvivalens problémáiról, amelyek sebességfüggetlenek", Soviet Journal of Computer and Systems Sciences, 24. kötet, 1986, pp. 58-69.)
- ↑ VI Varshavsky, VB Marakhovsky, RA Lashevsky, „Önidőzített adatátvitel tömegesen párhuzamos számítástechnikai rendszerekben”, Integrated Computer-Aided Engineering, vol. 4, sz. 1, pp. 47-65, 1997.
- ↑ SJ Piestrak, "Tagsági teszt logika késleltetésre nem érzékeny kódokhoz", IEEE Int. Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC) 1998, pp. 194-204. . Letöltve: 2017. február 3. Az eredetiből archiválva : 2018. január 31.. (határozatlan)
További olvasnivalók
Riportok és könyvek
- DE Muller, Az aszinkron áramkörök elmélete. számú jelentés 66, Digital Computer Laboratory, University of Illinois, Urbana-Champaign, 1955.
- JC Nelson, Sebességfüggetlen számláló áramkörök. számú jelentés 71, Digital Computer Laboratory, University of Illinois, Urbana-Champaign, 1956.
- DE Muller, WS Bartky, Az aszinkron áramkörök elmélete I. Jelentés Nr. 75, Digital Computer Laboratory, University of Illinois, Urbana-Champaign, 1956.
- DE Muller, WS Bartky, Az aszinkron áramkörök elmélete II. számú jelentés 78, Digital Computer Laboratory, University of Illinois, Urbana-Champaign, 1957.
- JH Shelly, Döntési és szintézisproblémák a félmoduláris kapcsolási elméletben, PhD értekezés, University of Illinois at Urbana-Champaign, 1959, 93 p.
- WS Bartky, Az aszinkron áramkörök elmélete III. számú jelentés 96, Digital Computer Laboratory, University of Illinois, Urbana-Champaign, 1960.
- AM Bush, Sebességfüggetlen aszinkron számítógépes áramkörök műveleteinek befejezésének érzékelésére szolgáló módszer. MSc szakdolgozat, Georgia Institute of Technology, 1961, 67 p.
- RE Swartwout, További tanulmányok egy vezérlés sebességfüggetlen logikájával kapcsolatban. PhD értekezés, Illinoisi Egyetem, Urbana-Champaign, 1962, 104 p.
- A. N. Yurasov, Relé áramkörök felépítésének elmélete. Gosenergoizdat, 1962, 119. o.
- WD Frazer: Kapcsolási elmélet küszöbelemek kétoldalú hálóihoz. PhD értekezés, Illinoisi Egyetem, Urbana-Champaign, 1963, 69 p.
- KE Batcher, sebességfüggetlen NOR megvalósítások. PhD értekezés, Illinoisi Egyetem, Urbana-Champaign, 1964, 44 p.
- R. Miller, Sebességfüggetlen kapcsolóáramkörök elmélete, Ch. 10 a könyvben. A kapcsolóáramkörök elmélete. 2. kötet: Szekvenciális áramkörök és gépek. Nauka, 1971, 242-298.
- PS Thiagarajan, Algebrai modellek aszinkron vezérlési struktúrákhoz. PhD értekezés, Rice University, 1972, 133p.
- A. G. Astanovsky, V. I. Varshavsky, V. B. Marakhovsky és mások Időszakos automaták. M. Nauka, 1976, 423 p.
- B. S. Tsirlin. A periodikus áramkörök szintézisének kérdései. Értekezés Ph.D. Leningrád. in-t repülés hangszerkészítés, 1976, 215 p.
- S. Anger, Lezáró jeleket generáló áramkörök, 6.1 § a könyvben. Aszinkron szekvenciális áramkörök, Nauka, 1977, 400-as évek.
- A. Friedman és P. Menon, Logikai elemek korlátlan késleltetéssel, 4.9. pont a könyvben. Kapcsolóáramkörök elmélete és tervezése, M. Mir, 1978, 275-282.
- C.L. Seitz, "System timing", Ch. 7 Introduction to VLSI Systems, C. A Mead and L. A Conway, pp. 218-262, Addison-Wesley, 1980.
- BS Tsirlin, Algebra és aszinkron logikai áramkörök elemzése. Preprint, Institute of Social-Econ. prob. Szovjetunió Tudományos Akadémia, 1981, 39 p. (nem elérhető link)
- Yu. V. Mamrukov: Aperiodikus áramkörök és aszinkron folyamatok elemzése. Értekezés Ph.D. LETI, 1984, 219 p. (nem elérhető link)
- NA Starodubtsev, Szabályozási sémák szintézise párhuzamos számítási rendszerek számára. L. Nauka, 1984, 191 p.
- V. I. Varshavskii, M. A. Kishinevskii, V. B. Marakhovsky et al., Automated control of asynchronous process in computers and discrete systems. M.: Nauka, 1986. Lefordítva angolra: Self-Timed Control of Concurrent Processes: The Design of Aperidic Logical Circuits in Computers and Discrete Systems.
- VI Varshavsky (szerk.), Hardware Support of Parallel Asynchronous Processes. Kutatási jelentés, Helsinki Műszaki Egyetem, 1987, 235 p.
- T.-A. Chu, Önidőzített VLSI áramkörök szintézise gráfelméleti specifikációkból. Ph.D. tézis, Massachusetts Institute of Technology, 1987, 189 p.
- L. Hluchý, B. Cirlin, B. Gaži, K. Košuk, T. Pažurová, Rýchly sériový asynchrónny kanál (Fast serial asynchronous channel). jelentés. SAS Műszaki Kibernetikai Intézet, Pozsony, 1988.
- VI Varshavsky, A tranzisztorok és vezetékek késleltetésére érzéketlen áramkörök. számú műszaki jelentés 7, Helsinki Műszaki Egyetem, 1989, 42 p.
- G. Gopalakrishnan és P. Jain, Néhány újabb aszinkron rendszertervezési módszertan. Műszaki jelentés UUCS-TR-90-016. Adósság. of Computer Science, University of Utah, 1990, 16 p.
- L. Lavagno: Határozott vezetékkésleltetésű aszinkron áramkörök szintézise és tesztelése jelátmeneti grafikonokból. PhD értekezés, University of California at Berkeley, 1992, 306 p.
- O. A. Izosimov. Módszerek önidőzített CMOS VLSI szintézisére és dinamikus elemzésére. PhD értekezés, MEPhI, 1993, 165 p.
- M. Kishinevsky, A. Kondratyev, A. Taubin and V. Varshavsky, Concurrent Hardware: The Theory and Practice of Self-Timed Design, Wiley, 1993, 388 p.
- K. van Berkel, Handshake Circuits: An Asynchronous Architecture for VLSI Programming. Cambridge, 225 p.
- PA Beerel, CAD eszközök robusztus aszinkron áramkörök szintéziséhez, ellenőrzéséhez és teszteléséhez. PhD értekezés, Stanford Egyetem, 1994.
- JA Brzozowski, C.-JH Seger, Asynchronous Circuits. Springer, 1995, 404 p.
- SS Appleton, Aszinkron VLSI rendszerek teljesítményorientált tervezése. PhD értekezés, University of Adelaide, 1997, 285p.
- S.P. Wilcox: Aszinkron áramkörök szintézise. PhD disszertáció, Cambridge-i Egyetem, 1999, 250 p.
- CJ Myers, Aszinkron áramkör tervezés. Wiley, 2001, 392. o.
- J. Sparsø, "Aszinkron áramkör-tervezés – oktatóanyag", 1-8. fejezet: Az aszinkron áramkör-tervezés alapelvei: A rendszer perspektívája. Kluwer, 2001, 152. o. Oroszra fordítva: "Aszinkron áramkörök tervezése - Bevezető útmutató"
- J. Cortadella, M. Kishinevsky, A. Kondratyev, L. Lavagno és A. Yakovlev, Logic Synthesis for Asynchronous Controllers and Interfaces. Springer, 2002, 272 p.
- A. Yakovlev, A párhuzamossági modellek alkalmazásának elmélete és gyakorlata a hardvertervezésben. DSc. publikációkon alapuló szakdolgozat, University of Newcastle upon Tyne, 2005, 27 p.
- KM Fant, logikusan meghatározott kialakítás: óra nélküli rendszertervezés NULL konvenciós logikával. Wiley, 2005, 292. o.
- WB Toms, Kvázi-késleltetés-érzéketlen adatút-áramkörök szintézise. PhD értekezés, Manchesteri Egyetem, 2006, 237 p.
- PA Beerel, RO Ozdag, M. Ferretti, A tervezői útmutató az aszinkron VLSI-hez. Cambridge, 2010, 339. o.
- LP Plekhanov, Az önszinkron elektronikus áramkörök alapjai. Binom, 2013, 208 p.
- V. B. Marakhovsky, L. Ya. Rosenblum, A. V. Yakovlev. Párhuzamos folyamatok szimulációja. Petri hálók. Szentpétervár, Szakirodalom, 2014, 400-as évek.
- D. Furey, Késleltetésérzéketlen áramkörök. Plumstead, 2019, 652 p.
Cikkek
- V. A. Rozenberg, "A relékészlet néhány tulajdonsága", Automatizálás és telemechanika, 1. sz., 37–48. o., 1939.
- V. A. Rozenberg: "Az érintkezőcsoportok blokkolásának és átalakításának problémája", Automatizálás és telemechanika, 1. szám, 47-54. o., 1940.
- A. N. Yurasov, "Többciklusú áramkörök analitikai szintézise inkluzív képletekkel", szo. Logikai kutatás, szerk. Kolman E.Ya. és mások A Szovjetunió Tudományos Akadémiája, 442-449, 1959.
- DE Muller és WS Bartky, "Az aszinkron áramkörök elmélete", Int. Szimpózium a váltáselméletről a Harvard Egyetemen, pp. 204-243, 1959.
- RS Ledley, JB Wilson, "A szekvenciális áramkörök elméletére és a küszöblogikára alkalmazott Boole-mátrixok", IEEE Transactions on Communication and Electronics, 20. kötet. 83. sz. 75, pp. 768-773, 1964.
- H. Eriksson, P.E. Danielsson, "Two problems on Boolean memory", IEEE Transactions on Electronic Computers, vol. EC-16, sz. 3, pp. 364-365, 1967.
- GG Langdon, "Analysis of asynchronous circuits under different delay Assumps", IEEE Transactions on Computers, vol. C-17, sz. 12, pp. 1131-1143, 1968.
- I. Kimura, "Az aszinkron áramkörök kiterjesztései és a késleltetési probléma I. Jó kiterjesztések és az első típusú késleltetési probléma", Journal of Computer and System Sciences, vol. 2, sz. 3, pp. 251-287, 1968.
- I. Kimura, "Az aszinkron áramkörök kiterjesztése és a késleltetési probléma. II. rész: Tüskementes kiterjesztések és a második típus késleltetési problémája", Journal of Computer and System Sciences, vol. 5, sz. 2, pp. 129-162, 1971.
- I. Kimura, "Sebességfüggetlen aszinkron áramkörök térfolyamatos idő-félfolyamatos elmélete", Information and Control, vol. 22. sz. 4, pp. 373-393, 1973.
- D. Bjørner, "Folyamatmódú, önkormányzó, cellás szorzóösszegzési processzor", BIT Numerical Mathematics, 1. kötet. 10, sz. 2, pp. 125-144, 1970.
- RM Keller, "Towards a theory of univerzális sebesség-független modulok", IEEE Transactions on Computers, vol. C-23, sz. 1, pp. 1974. 21-33.
- V. I. Varshavsky, L. Ya. Rosenblum, Η. a. Starodubtsev, "A vivőjelek képződésének átlagos idejéről időszakos számláló és összeadó áramkörökben", Automation and Computer Science, 1975, 3. szám, 88-96.
- P. Corsini, G. Frosini, "Interconnecting asynchronous control networks", Information and Control, vol. 38. sz. 3, pp. 343-366, 1978.
- V. I. Varshavskii, M. A. Kishinevskii, A. R. Taubin és B. S. Tsirlin, "Az aszinkron logikai áramkörök elemzése. II. A működési állapotok elérhetősége és a vezetékek késleltetésének hatása", Izv. A Szovjetunió Tudományos Akadémia. Műszaki Kibernetika, 1982, 4. sz., 84-97.
- NA Starodubtsev, "Aszinkron folyamatok és antitonusvezérlő áramkörök. I. Leírás nyelve", Izv. A Szovjetunió Tudományos Akadémia. Műszaki Kibernetika, 1985, 1. sz., 10-19.
- NA Starodubtsev, "Aszinkron folyamatok és antitonusvezérlő áramkörök. II. Alapvető tulajdonságok", Izv. A Szovjetunió Tudományos Akadémia. Műszaki Kibernetika, 1985, 4. sz., 115-122.
- NA Starodubtsev, "Aszinkron folyamatok és antitonusvezérlő áramkörök. III. Megvalósítás", Izv. A Szovjetunió Tudományos Akadémia. Műszaki Kibernetika, 1985, 6. szám, 83-92.
- PF Lister, AM Alhelwani, "Az önidőzített VLSI-rendszerek tervezési módszere", IEE Proceedings in Computers and Digital Techniques, vol. E132, sz. 1, pp. 1985. 25-32.
- L. Nowak: "SAMP: Egy általános célú processzor, amely önidőzített VLIW-struktúrán alapul", ACM Computer Architecture News, vol. 15, sz. 4, 1987, pp. 32-39.
- R. Melhem, "Önidőzített számítógépes hálózatok osztályának ellenőrzése", BIT Computer Science, vol. 27. sz. 4, pp. 480-500, 1987.
- L. Ya. Rosenblum, "Petri Nets", Izv. A Szovjetunió Tudományos Akadémia. Műszaki Kibernetika, 1988, 5. sz., 12-40.
- S. I. Benjamin, Dataflow: Áttekintés és szimuláció. MSc szakdolgozat, Rochester Institute of Technology, 1988, 106 p.
- L. Rosenblum, A. Yakovlev és V. Yakovlev, Egy pillantás a párhuzamossági szemantikába "rácsszemüvegen keresztül", Bulletin of the European Association for Theoretical Computer Science (EATCS), vol. 37. o. 175-180, 1989.
- BC Kuszmaul, "Hiba a késleltetésre nem érzékeny áramkörök elméletében", ACM Int. Workshop on Timeing Issues in the Specifikation and Synthesis of Digital Systems (TAU), 1990.
- AJ McAuley, "Négy állapotú aszinkron architektúra", IEEE Transactions on Computers, vol. 41. sz. 2, pp. 129-142, 1992.
- M. Kishinevsky, J. Staunstrup, "Charactering speed-independence of high-level designs", IEEE Int. Symposium on Advanced Research in Asynchronous Circuits and Systems, pp. 44-53, 1994.
- VI Varshavsky, "Logic design and quantum challenge", IEEE Int. Workshop on fizika és eszközök számítógépes modellezése kisdimenziós szerkezetek alapján, 1995, pp. 134-146. Oroszra fordítva: "Logikai tervezés és kvantum kihívás", Information and Control Systems, No. 4, 2005, pp. 22-32.
- S. Moore, P. Robinson és S. Wilcox, "Rotary pipeline processors", IEE Proceedings, Computers and Digital Techniques, vol. 143. sz. 5, pp. 259-265, 1996.
- WHFJ Korver, IM Nedelchev, "Az SCPP-A ellenáramú csővezeték processzor aszinkron megvalósítása", IEE Proceedings on Computers and Digital Techniques, vol. 143. sz. 5, pp. 287-294, 1996.
- F. Burns, D. Shang, A. Koelmans, A. Yakovlev, "An asynchronous synthesis toolset using Verilog", IEEE Design, Automation and Test in Europe Conference (DATE) 2004, vol. 1, pp. 724-725.
- DH Linder és JC Harden, „Fázisos logika: a szinkron tervezési paradigma támogatása késleltetésre nem érzékeny áramkörrel”, IEEE Transactions on Computers, 20. kötet. 45, sz. 9, pp. 1031-1044, 1996.
- J. O'Leary, G. Brown, "Synchronous emulation of asynchronous circuits", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 16. sz. 2, pp. 205-209, 1997.
- MH Sawasaki, C. Ykman-Couvreur és B. Lin, "Külsőleg veszélymentes implementációk az aszinkronvezérlő áramkörökhöz", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 16. sz. 8, pp. 835-848, 1997.
- J. Cortadella, M. Kishinevsky, Tutorial: Vezérlőáramkörök szintézise az STG specifikációkból. nyári iskola. Lyngby 1997
- NA Starodoubtsev, Aszinkron negatív logikai szintézis STG-szerű specifikációkból. ACiD-WG workshop. Utca. Pétervár, 1998.
- C. Piguet, J. Zahnd, "SEBESSÉG-független CMOS cellák STG-alapú szintézise", Workshop on Exploitation of STG-based Design Technology, 1998, pp. 1-23.
- LA Plana és SH Unger, "Pulse-mode macromodular systems", IEEE Int. Számítógép-tervezési Konferencia (ICCD) 1998, pp. 348-353.
- A. Kondratyev, M. Kishinevsky és A. Yakovlev, "Sebességfüggetlen áramkörök veszélymentes megvalósítása", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 17. sz. 9, pp. 749-771, 1998.
- A. Kondratyev, J. Cortadella, M. Kishinevsky, L. Lavagno és A. Yakovlev, "Logic decomposition of speed-independent circuits", Proceedings of the IEEE, vol. 87. sz. 2, pp. 347-362, 1999.
- MJ Liebelt és C.-C. Lim, "Módszer annak meghatározására, hogy az aszinkron áramkörök önellenőrzőek-e", IEEE Int. Asian Test Symposium (ATS) 2000, pp. 472-477.
- I. Blunno és L. Lavagno: "Jelváltási grafikonok származtatása viselkedési Verilog HDL-ből", Hardware Design és Petri Nets, Springer, 2000, pp. 151-170.
- J. Carmona, J. Cortadella, E. Pastor: "A szerkezeti kódolási technika aszinkron áramkörök szintéziséhez", IEEE Int. Konferencia a párhuzamosság alkalmazásáról a rendszertervezésben, 2001, pp. 157-166.
- M. Neidengard, B. A. Minch, "Hysteretic threshold logic and quasi-delay insensitive asynchronous design", IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, vol. 49, sz. 10, pp. 1423-1428, 2002.
- A. Yakovlev, Haladó tanfolyam: Hardver és Petrinets. Eichstatt, 2003.
- AV Yakovlev, Óra nélküli számítástechnika vagy a "puha idő" játékának megtanulása "kemény térben" Proceedings of St. Petersburg Electrotechnical University "LETI". Ser. "Számítástechnika, menedzsment és számítástechnikai technológiák", 20. évf. 1., 2003. 55-64.
- A. Yakovlev, A. Bystrov, D. Szokolov, V. Varshavsky és V. Marakhovsky, "Fázis-különbség alapú logika: elv és alkalmazások", ACiD-WG 2004
- D. Shang, F. Burns, A. Koelmans, A. Yakovlev és F. Xia, "Asynchronous system synthesis based on direct mapping using VHDL and Petrinets", IEE Proceedings on Computers and Digital Techniques, vol. 151. sz. 3, pp. 209-220, 2004. május.
- DA Edwards, WB Toms, "Az aszinkron tervezés helyzete az iparban", IST-1999-29119 jelentés az információs társadalomtechnológiák (IST) programról, 2004
- RR Redziejowski, "Aszinkron áramkörök, kommunikációs folyamatok és Muller automata", Fundamenta Informaticae, vol. 61. sz. 1, pp. 2004. 47-59.
- L. Necchi, L. Lavagno, D. Pandini és L. Vanzago, "An ultra-low energy asynchronous processor for wireless sensor networks", IEEE Int. Symposium on Asynchronous Circuits and Systems 2006, pp. 1-8.
- H. Lampinen, P. Perala, O. Vainio, "Skálázható aszinkron adatfolyam-processzor tervezése", IEEE Design and Diagnostics of Electronic Circuits and systems (DATE) 2006, pp. 85-86.
- C. Jeong és SM Nowick, "Technology mapping and cell merger for asynchronous threshold networks", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 27. sz. 4, pp. 659-672, 2008.
- AJ Martin, P. Prakash, "Asynchronous nano-electronics: Preliminary research", IEEE Int. Symposium on Asynchronous Circuits and Systems (ASYNC) 2008, pp. 58-68.
- J. Carmona, J. Cortadella, M. Kishinevsky, A. Taubin, "Elastic circuits", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 28, sz. 10, pp. 1437-1455, 2009.
- A. Peeters, F. te Beest, M. de Wit, W. Mallon, "Click elements: An implementation style for data-driven compilation", IEEE Int. Symposium on Asynchronous Circuits and Systems (ASYNC) 2010, pp. 3-14.
- S. Chaudhuri, W. Zhao, J.-O. Klein, C. Chappert, P. Mazoyer: "Nagy sűrűségű aszinkron LUT, amely nem illékony MRAM technológián alapul", IEEE Int. Konferencia a terepi programozható logikáról és alkalmazásokról (FPL) 2010, pp. 374-379.
- R. Ginosar, "Metastabilitás és szinkronizálók: oktatóanyag", IEEE Design & Test of Computers, 20. évf. 28, sz. 5, pp. 2011. 23-35.
- MY Agyekum, SM Nowick, "Hibajavító rendezetlen kódok és hardver támogatás a robusztus aszinkron globális kommunikációhoz", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 31. sz. 1, pp. 2012. 75-88.
- F.-C. Cheng, C. Chen: "Megvalósíthatók a QDI kombinált áramkörök C-elemek nélkül?" IEEE Int. Symposium on Asynchronous Circuits and Systems (ASYNC) 2013, pp. 134-141.
- A. V. Surkov, "Synopsys Design Compiler használata önidőzített áramkörök szintéziséhez", Software Products and Systems, 1. kötet. 4, sz. 108. o. 2014. 24-30.
- D. Lloyd és R. Illman, "Scan insertion and ATPG for C-gate based asynchronous designs", Synopsys User Group (SNUG), 2014.
- J. Cortadella, M. Galceran-Oms, M. Kishinevsky and SS Sapatnekar, "RTL synthesis: From logic synthesis to automatic pipelineing", Proceedings of the IEEE, vol. 103. sz. 11, pp. 2061-2075, 2015.
- J. Beaumont, "Az elmélet és gyakorlat felmérése az aszinkron áramkörök kompozíciós tervezésében", Memo-2015-011, Newcastle Egyetem, 2015.
- Z. Tabassam, SR Naqvi, T. Akram, M. Alhussein, K. Aurangzeb és SA Haider, "Az aszinkron mikroprocesszorok tervezése felé: a specifikációtól a szalagos kivezetésig", IEEE Access, 3. sz. 5, vol. 7, pp. 33978-4003, 2019.
- A. de Gennaro, D. Sokolov, A. Mokhov, "Újrakonfigurálható aszinkron csővezetékek tervezése és megvalósítása", IEEE Transactions on VLSI Systems, Vol. 28. 6. sz. 1527-39, 2020.
Szabadalmak
- DE Muller, "Asynchronous encoder", US3017626 szabadalom, jan. 1962. 16.
- SR Webb, "N impulzusszámláló, amely legfeljebb 3n NOR elemet használ páratlan n-hez és 3n/2 elemet páros n-hez", US3110821 szabadalom, nov. 1963. 12.
- B. G. Szergejev, "Párhuzamos bináris számláló", szerzői igazolás SU217702, 1968.07.05.
- MJ de Blauw, "Nem szinkron kialakítás digitális eszközvezérléshez", US3460098 szabadalom, aug. 1969. 5.
- S. S. Zabara, E. I. Komukhaev, G. I. Kornienko, "Ring impulzusszámláló", szerzői bizonyítvány SU249101, 1969.08.18.
- A.K. Rapp, "Bistabil áramkörök", US3493785 számú szabadalom, febr. 3, 1970.
- V. P. Morin és E. E. Popov, „Aszinkron műszakregiszter”, SU374663 szerzői jogi tanúsítvány, 1973.03.20.
- V. A. Grekhnev és B. L. Ostankov, "impulzuselosztó", szerzői bizonyítvány SU416868, 1974.02.25.
- JW Foltz, "Bináris univerzális flip-flop komplementer szigetelt térhatású tranzisztorokkal", US3835337 számú szabadalom, szep. 1974. 10.
- A. N. Foida és G. K. Shulyak, "Egyciklusú impulzuselosztó gyűrűs váltószámlálón", SU451201 szerzői bizonyítvány, 1974.11.25.
- V. I. Varshavsky, V. B. Marakhovsky, I. A. Nasibullin et al., "Fenntartott trigger", szerzői igazolás SU470914, 1975.05.15.
- T. Nanya, "Asynchronous spatial shift register circuit", US3893086 számú szabadalom, 1975. július 1.
- V. I. Goryachev és B. M. Mansurov, "Többstabil méretezési séma", szerzői igazolás SU507945, 1976.03.25.
- BJ Clark és C. L Seitz, "Asynchronous self timed queue", US4058773 szabadalom, nov. 1977. 15.
- E. S. Pavlov, "Tri-stabil számláló trigger", szerzői bizonyítvány SU585591, 1977.12.25.
- SS Patil, "Asynchronous logic array", US4068214 szabadalom, január 1978. 10.
- V. I. Varshavsky, V. B. Marakhovsky, V. A. Peschansky és mások, "Eszköz a tranziens folyamatok vezérlésére logikai blokkokban", szerzői tanúsítvány SU658561, 1979.04.25.
- C. Faustini, "Asynchronous circuit and system", US4167789 számú szabadalom, szep. 1979. 11.
- V. I. Varshavsky, V. B. Marakhovsky, V. A. Peschansky et al., "Single-cycle shift register", Szerzői bizonyítvány SU723683, 1980.03.25.
- V. A. Baranov, V. S. Barkan, N. I. Bekasova és V. M. Stepantsev, "Impulzusismétlési frekvencia osztó hárommal", Szerzői bizonyítvány SU849495, 1981.07.23.
- V. I. Goryachev, "Multi-stable trigger", szerzői bizonyítvány SU851786, 1981.07.30.
- V. I. Varshavsky és B. S. Tsirlin, "Kétfázisú hatstabil flip-flop", szerzői bizonyítvány SU1072099, 1984.02.07.
- V. I. Varshavskiy, M. A. Kishinevskiy, Yu. V. Mamrukov, V. B. Marakhovsky et al., "Aszinkron műszakregiszter", Szerzői bizonyítvány SU1076951, 1984.02.28.
- B. S. Tsirlin, "Kombinációs összeadó", SU1078426 szerzői bizonyítvány, 1984.07.03.
- B. S. Tsirlin, "Asynchronous shift register", SU1117712 szerzői jogi tanúsítvány, 1984.10.07.
- B. S. Tsirlin, "Aszinkron műszakregiszter", SU1119082 szerzői jogi tanúsítvány, 1984.10.15.
- V. I. Varshavsky, M. A. Kishinevskiy, Yu. V. Mamrukov, V. B. Marakhovsky et al., "Aszinkron műszakregiszter", Szerzői jog tanúsítvány SU1136216, 1985.01.23.
- S. S. Bulgakov, V. I. Varshavsky, V. I. Lazutkin, V. B. Marakhovsky et al., "Aszinkron műszakregiszter", Szerzői igazolás SU1138834, 1985.02.07.
- V. F. Mochalov, V. N. Nikolaev és E. K. Semenov, "Számláló soros átvitellel", szerzői bizonyítvány SU1210220, 1986.02.07.
- G. S. Brailovsky, "Időszakos jelző", szerzői bizonyítvány SU1221719, 1986.03.30.
- V. I. Varshavsky, O. V. Maevsky, V. B. Marakhovsky et al., "Trigger device", Szerzői bizonyítvány SU1228221, 1986.04.30.
- V. A. Teterin, L. F. Vikentiev, I. O. Sinegubov és A. I. Deryabin, „IK-trigger”, szerzői jogi tanúsítvány SU1256154, 1986.07.09.
- I. M. Lazer és G. S. Brailovsky, "Számláló soros átvitellel", szerzői bizonyítvány SU1269257, 1986.11.07.
- B. S. Tsirlin és G. S. Brailovsky, "Aperiodikus impulzuskészülék (változatai)," Szerzői bizonyítvány SU1269239, 1986.11.07.
- V. I. Varshavsky, V. B. Marakhovsky, L. Ya. Rosenblum és B. S. Tsirlin, "Eszköz a szorzáshoz", Szerzői bizonyítvány SU1335983, 1987.07.09.
- FC Furtek, "Programozható, aszinkron logikai cella és tömb", US4700187 szabadalom, okt. 1987. 13.
- V. I. Varshavsky, N. M. Kravchenko, V. B. Marakhovsky és mások, "Kombinált számláló", szerzői bizonyítvány SU1383490, 1988.03.23.
- L. Ya. Rosenblum, O. A. Fedorova, A. V. Yakovlev és I. V. Yatsenko, "Eszköz a számítógépes rendszer moduljainak szinkronizálásához", szerzői igazolás SU1442985, 1988.12.07.
- V. I. Varshavsky, A. Yu. Kondratiev, N. M. Kravchenko és B. S. Tsirlin, "Aszinkron soros regiszter", Szerzői bizonyítvány SU1410103, 1988.07.15.
- V. I. Varshavsky, A. Yu. Kondratiev, N. M. Kravchenko és B. S. Tsirlin, "Aszinkron eltolási regiszter az MIS tranzisztorokon", szerzői tanúsítvány SU1411829, 1988.07.23.
- V. I. Varshavsky, A. Yu. Kondratiev, N. M. Kravchenko és B. S. Tsirlin, "Aszinkron elosztó", szerzői bizonyítvány SU1458968, 1989.02.15.
- V. I. Varshavsky, A. Yu. Kondratiev, N. M. Kravchenko és B. S. Tsirlin, „Aszinkron elosztó”, szerzői bizonyítvány SU1465997, 1989.03.15.
- L. F. Vikentiev, I. O. Sinegubov, O. L. Lepikhina és T. D. Kogan, „Aperiodikus RS flip-flop”, szerzői jogi tanúsítvány SU1480098, 1989.05.15.
- V. I. Varshavsky, V. B. Marakhovsky, L. Ya. Rosenblum és V. I. Timokhin, "Trigger device", Szerzői bizonyítvány SU1483614, 1989.05.30.
- V. I. Varshavsky, V. B. Marakhovsky, N. M. Kravchenko és Yu. S. Tatarinov, "Counter in Gray Code", szerzői bizonyítvány SU1492474, 1989.07.07.
- V. I. Varshavsky, A. Yu. Kondratiev, N. M. Kravchenko és B. S. Tsirlin, "Aszinkron soros regiszter a CMOS tranzisztorokon", SU1494041 szerzői tanúsítvány, 1989.07.15.
- V. I. Varshavsky, N. M. Kravchenko, V. B. Marakhovsky és B. S. Tsirlin, „I-K-trigger”, szerzői bizonyítvány SU1504793, 1989.08.30.
- V. I. Varshavsky, A. Yu. Kondratiev, N. M. Kravchenko és B. S. Tsirlin, "Újraszámítási séma", Szerzői bizonyítvány SU1525909, 1989.11.30.
- V. I. Varshavsky, N. M. Kravchenko, V. B. Marakhovsky és V. M. Yakker, "Counter", szerzői bizonyítvány SU1529448, 1989.12.15.
- V. I. Varshavsky, N. M. Kravchenko, V. B. Marakhovsky és B. S. Tsirlin, "Párhuzamos aszinkron regiszter", Szerzői bizonyítvány SU1531172, 1989.12.23.
- A. Beltramini, "Differenciáló logikai áramkör aszinkron rendszerekhez", US4943744 szabadalom, júl. 1990. 24.
- V. I. Varshavsky, A. Yu. Kondratiev, N. M. Kravchenko és B. S. Tsirlin, "Aszinkron elosztó", szerzői bizonyítvány SU1598142, 1990.10.07.
- V. I. Varshavsky, V. I. Krasyuk, N. M. Kravchenko és V. B. Marakhovsky, "Bináris soros összeadó", Szerzői bizonyítvány SU1603377, 1990.10.30.
- V. I. Varshavsky, V. I. Krasyuk, N. M. Kravchenko és V. B. Marakhovsky, "Soros egybites összeadó", szerzői igazolás SU1615703, 1990.12.23.
- V. I. Goryachev, „IK-típusú trigger”, szerzői jogi tanúsítvány SU1621143, 1991.01.15.
- V. I. Varshavsky, A. Yu. Kondratiev, N. M. Kravchenko és V. B. Marakhovsky: "Eszköz két n-bites bináris szám összehasonlítására", szerzői igazolás SU1640684, 1991.07.04.
- N. A. Goldin, A. Yu. Kondratiev, V. A. Romanovsky és B. S. Tsirlin, "Párfázisú egybites kombinációs összeadó", szerzői tanúsítvány SU1665372, 1991.07.23.
- B. S. Tsirlin, V. I. Varshavsky, A. Yu. Kondratiev és V. A. Romanovsky, "Párhuzamos aszinkron regiszter a CMOS tranzisztorokon", szerzői tanúsítvány SU1665405, 1991.07.23.
- L. Hluchý, B. Cirlin, B. Gaži, T. Pažurová és K. Košuk, "Zapojenie na prevod paralelného formátu znaku na sériovú postupnosť bitov", CS275655 számú szabadalom, 1992.03.18.
- L. Hluchý, B. Cirlin, B. Gaži, T. Pažurová és K. Košuk, "Zapojenie na prevod sériovej postupnosti na paralelný formát znaku", CS275656 számú szabadalom, 1992.03.18.
- TE Williams, „Zero overhead self-timed iterative logic”, US5121003 szabadalom, jún. 1992. 9.
- IE Sutherland, "Symmetric selector circuit for event logic", US5742182 szabadalom, ápr. 1998. 21.
- Yu. A. Stepchenkov, Yu. G. Dyachenko, Yu. V. Rozhdestvensky és A. V. Rozhdestvenskye, "Önidőzített műszakregiszter kisütése", RU2319232 szabadalom, 2008.03.10.
- C. Jeong és SM Nowick: "Methods, media and eszközök az aszinkron logikai hálózatok kialakításához", US7729893 szabadalom, jún. 2010. 1.
- CP Sotiriou, A. Kondratyev, J. Cortadella és L. Lavagno, "Aszinkron, többsínes, aszimmetrikus fázisú, statikus digitális logika befejezésérzékeléssel és módszerrel ugyanezen tervezéshez", US7870516 szabadalom, január. 2011. 11.
- V. B. Marakhovsky, A. V. Surkov és S. G. Bobkov, "Synchrostratum module for wave data processing", RU2592462 számú szabadalom, 2016.07.20.
- V. B. Marakhovsky, A. V. Surkov és S. G. Bobkov, "Szinkronizáló modul, amely egy vezérelt egység párhuzamos működését koordinálja egy globálisan aszinkron rendszerben", RU2592466 szabadalom, 2016.07.20.
- V. Khomenko, D. Sokolov, A. Yakovlev, "Eszközök és módszerek relatív időzítési kényszerek kiválasztására aszinkron áramkörökben és az általuk készített aszinkron áramkörökben", US10839126 szabadalom, nov. 2020. 17.